[X]关闭

AMD-FPGA

  • 文档数:1182
作者 回复/查看 最后发表
预览 Windriver安装目录下Xilinx文件夹里面是应用程序代码还是驱动代码? - [悬赏 2 积分] 叶子 2016-11-14 02505 叶子 2016-11-14 10:41
预览 ISE软件综合实现时间过长的问题 - [已解决] attach_img accdgh 2016-9-19 54725 accdgh 2016-11-12 18:48
预览 lcell 用法?? - [悬赏 1 积分] 中国的孩子 2016-11-11 02515 中国的孩子 2016-11-11 17:22
预览 挂起 - [悬赏 1 积分] 中国的孩子 2016-11-8 02265 中国的孩子 2016-11-8 17:38
预览 【求助】SDK在Debug时无法连接到ps7_cortex9 - [悬赏 1 积分] attach_img 一斤大棉花 2016-11-7 35881 admin 2016-11-7 23:48
预览 mis607 usb驱动 - [悬赏 1 积分] attachment 中国的孩子 2016-11-5 54887 中国的孩子 2016-11-5 19:49
预览 MI-Z702 ch34相关问题 - [已解决] 2609622174 2016-11-2 64529 2609622174 2016-11-3 19:52
预览 vivado中如何将信号一直拉高 - [悬赏 1 积分] attach_img kirito 2016-11-1 14115 admin 2016-11-3 16:40
预览 请教一个延时模块的问题 - [悬赏 1 积分] mkelehk 2016-8-28 34319 Yeran 2016-11-2 23:01
预览 MI-Z702 ch34相关问题 - [悬赏 1 积分] 2609622174 2016-11-2 02185 2609622174 2016-11-2 17:21
预览 如何测试pcie的延迟。。。 - [悬赏 1 积分] 叶子 2016-10-28 02920 叶子 2016-10-28 11:02
预览 关于VDMA的配置寄存器 - [悬赏 1 积分] FUHAOMIN 2016-10-27 03499 FUHAOMIN 2016-10-27 23:26
预览 zynq HDMI 部分库文件安装有问题 - [悬赏 1 积分] xieshengguang 2016-10-26 02779 xieshengguang 2016-10-26 22:57
预览 如何生成带参数的IP核? - [悬赏 1 积分] leehowal 2016-10-14 02493 leehowal 2016-10-14 10:03
预览 Opencv+ffmpeg的交叉移植 - [悬赏 1 积分] JeeheN 2016-10-8 05108 JeeheN 2016-10-8 10:53
预览 VHDL程序综合时报错 - [悬赏 1 积分] accdgh 2016-9-30 02783 accdgh 2016-9-30 23:31
预览 修改米联带的ov7725 LCD显示的程序,为什么显示的总是不清楚 - [悬赏 1 积分] attach_img accdgh 2016-9-30 13787 accdgh 2016-9-30 19:01
预览 MCB控制DDR3读写 - [悬赏 1 积分] attach_img 我是小窝shine 2016-9-21 03070 我是小窝shine 2016-9-21 22:43
预览 Miz701第二周试用 - [悬赏 1 积分] attach_img mayiqun720 2016-9-20 25772 mayiqun720 2016-9-21 14:15
预览 HELLO FPGA-学习之旅 - [悬赏 1 积分] attach_img digoboy 2016-8-19 23708 digoboy 2016-9-21 09:51
预览 MIz701开箱评测 - [悬赏 1 积分] attach_img mayiqun720 2016-9-19 13875 zpp 2016-9-20 21:50
预览 封装IP核如何不显示原程序 - [悬赏 1 积分] leehowal 2016-9-18 02586 leehowal 2016-9-18 14:22
预览 关于更新自己生成的IP出现的问题 - [悬赏 1 积分] attachment leehowal 2016-9-11 43902 leehowal 2016-9-16 08:21
预览 zynq 上的内核系统,必须和交叉编译用的内核系统版本一致吗 - [悬赏 1 积分] 狂羁青马 2016-9-12 24487 狂羁青马 2016-9-13 15:20
预览 如何实现pl能过访问ps,ps也能够访问pl - [悬赏 1 积分] yhm632954302 2016-7-5 24331 bioak 2016-9-6 14:13
预览 miz702 启动时间长达100s - [悬赏 1 积分] 母子平安 2016-8-4 13533 母子平安 2016-9-2 14:10
预览 自己创建axi4总线的IP在vivado和sdk中的地址不一样 - [悬赏 1 积分] fuxueli 2016-8-16 25219 admin 2016-8-28 19:47
预览 miz720串口接收中断出现进不去的问题,下面是我的代码 - [悬赏 1 积分] Cherish_ 2016-8-13 25340 Cherish_ 2016-8-13 18:07
预览 MiZ702 AXI-Lite总线音频驱动 - [悬赏 1 积分] xingguol 2016-8-9 04765 xingguol 2016-8-9 13:49
预览 示波器如何抓一个周期的信号??(信号很快,一闪而过) - [悬赏 1 积分] 狂羁青马 2016-7-30 23659 狂羁青马 2016-8-5 08:47
预览 请问fifo的写入和读出时钟的占空比必须是50%吗 - [已解决] attach_img 狂羁青马 2016-7-28 25423 狂羁青马 2016-7-29 11:43
预览 ddr3 读数据的周期和shipscope 抓信号的周期不一致 - [已解决] attach_img 狂羁青马 2016-6-18 13420 shiwuge 2016-7-29 09:24
预览 zynq vdm视屏通道图像显示为何跳动 - [悬赏 1 积分] superman 2016-7-28 02665 superman 2016-7-28 17:43
预览 ddr3 中pll ip核的分频系数(infrastructure中的)可以为小数吗 - [已解决] 狂羁青马 2016-7-19 65309 shiwuge 2016-7-22 17:18
预览 xilinx dds ip核输出的sine cosine 以怎样的数字量输出 - [已解决] attach_img 狂羁青马 2016-7-13 44542 狂羁青马 2016-7-18 15:25
预览 UDP读写ddr3 - [悬赏 1 积分] attach_img HanS 2016-7-16 03049 HanS 2016-7-16 21:12
预览 mis603a的UDP传输问题 - [悬赏 1 积分] attach_img HanS 2016-7-14 03027 HanS 2016-7-14 16:02
预览 MIS603用以太网传视频的问题 - [悬赏 1 积分] HanS 2016-7-10 02404 HanS 2016-7-10 11:13
预览 mis603UDP协议传输问题 - [已解决] attach_img HanS 2016-7-8 44446 HanS 2016-7-9 10:37
预览 spartan-6 可以有两个时钟输入吗 - [已解决] 狂羁青马 2016-7-8 23441 狂羁青马 2016-7-9 08:54
预览 DDR地址问题 - [悬赏 1 积分] shen1170 2016-6-25 12971 admin 2016-6-25 12:12
预览 关于Miz702 ZYNQ开发板教程第十六章中用户自定义IP--ADAU1761出现问题的总结 - [悬赏 1 积分] attach_img 忘川之上MUC 2016-6-17 03656 忘川之上MUC 2016-6-17 20:08
预览 可以两个pl中断,一起工作吗?求助 - [悬赏 1 积分] attach_img tt1314 2016-6-15 13949 tt1314 2016-6-15 12:50
预览 jtag无法识别 - [悬赏 1 积分] wangyang42216 2016-6-14 16797 admin 2016-6-14 21:44
预览 pl中断问题,求助 - [悬赏 1 积分] attach_img  ...23 tt1314 2016-6-12 2512862 tt1314 2016-6-14 17:11
预览 chipscope抓信号可以检测出信号的周期吗 - [已解决] 狂羁青马 2016-6-4 45887 狂羁青马 2016-6-10 08:48
预览 Miz702串口如果连不上,可以试试重新装驱动! - [悬赏 1 积分] shen1170 2016-6-1 43404 shen1170 2016-6-8 15:12
预览 提问并不是一件容易的事情 - [悬赏 1 积分] 宋桓公 2016-6-4 23307 开开心心做设计 2016-6-8 14:50
下一页 »
123