[X]关闭

VHDL程序综合时报错

文档创建者:accdgh
浏览次数:2782
最后更新:2016-09-30
悬赏1积分未解决
出错地方的程序如下:                           library IEEE;use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use ieee.std_logic_textio.all;
use ieee.numeric_std.all;
                                                rem_r <= rem_r SLL 2;                                                a <= a SRL 4;
                                                rem_r <= rem_r +a;
                                                rem_r <= rem_r SRL 2;
                                                a <=a SLL 4;
                                                a <= (a SLL 2);
                                                root <=root SLL 2;
                                                div <= root +1;
                                                root <= root SRL 1;
错误如下:
ERROR:HDLCompiler:1731 - "F:\fpga_tuxiang_program\div\sqrt\sqrt.vhd" Line 69: found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+"

是不是我应该再加上什么库,那要加上什么呢?





您需要登录后才可以回帖 登录 | 立即注册

本版积分规则