[X]关闭

发表评论已发布 11

MasterYsu

发表于 2016-9-11 16:58:20 | 显示全部楼层

admin 发表于 2016-9-11 16:57
set_property PACKAGE_PIN N15 [get_ports{RSTn_i}]
set_property IOSTANDARD LVCMOS18 [get_ports{RSTn_i ...

应该是LVCMOS33么?

MasterYsu

发表于 2016-9-11 17:37:29 | 显示全部楼层

此贴终结,找到问题了get_ports和{}之间要有空格!!!!!

12
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则