问答 店铺
热搜: ZYNQ FPGA discuz

QQ登录

只需一步,快速开始

微信登录

微信扫码,快速开始

微信扫一扫 分享朋友圈

已有 33 人浏览分享

开启左侧

FPGA图像处理-图像二值化闭运算处理

[复制链接]
33 0
安路-FPGA课程
安路课程: 图像算法 » 图像新手入门实验
安路系列: EG4
本帖最后由 UT发布 于 2025-4-3 10:22 编辑

软件版本:Anlogic -TD5.6.1-64bit
操作系统:WIN10 64bit
硬件平台:适用安路(Anlogic)FPGA
登录米联客”FPGA社区-www.uisrc.com视频课程、答疑解惑!
1概述

本文简述了二值化图像闭运算算法,讲解如何进行Verilog的算法实现,并进行上板实验。

2算法原理简介

闭运算是就是先进行膨胀然后进行腐蚀,这样操作后可以使得原本未连接在一起的区域,变成了连通的区域。主要针对细小的突起、细的连接线、图像中的弯口、孤立的小块或齿状物体的效果明显。

3算法仿真
3.1Matlab算法仿真
3.1.1Matlab算法代码分析

源代码如下:

  1. clear;clear all;clc;
  2. image_in = imread('geeker_fpga.jpg');
  3. [row,col,n] = size(image_in);
  4. image_gray  = rgb2gray(image_in);
  5. image_binary=zeros(row,col);
  6. for i=1:row   
  7.     for j=1:col   
  8.         if image_gray(i,j) > 92   
  9.             image_binary(i,j)=255;   
  10.         else   
  11.             image_binary(i,j)=0;   
  12.         end   
  13.     end   
  14. end  
  15. image_dilate_0=zeros(row,col);
  16. for i = 2:1:row-1
  17.     for j = 2:1:col-1
  18.                 image_dilate_0(i,j) =...
  19.                 image_binary(i-1,j-1)|image_binary(i-1,j)|image_binary(i-1,j+1)|...
  20.                 image_binary(i,j-1)  |image_binary(i,j)  |image_binary(i,j+1)  |...
  21.                 image_binary(i+1,j-1)|image_binary(i+1,j)|image_binary(i+1,j+1);
  22.     end
  23. end
  24. image_dilate_1=zeros(row,col);
  25. for i = 2:1:row-1
  26.     for j = 2:1:col-1
  27.                 image_dilate_1(i,j) =...
  28.                 image_dilate_0(i-1,j-1)|image_dilate_0(i-1,j)|image_dilate_0(i-1,j+1)|...
  29.                 image_dilate_0(i,j-1)  |image_dilate_0(i,j)  |image_dilate_0(i,j+1)  |...
  30.                 image_dilate_0(i+1,j-1)|image_dilate_0(i+1,j)|image_dilate_0(i+1,j+1);
  31.     end
  32. end
  33. image_dilate_2=zeros(row,col);
  34. for i = 2:1:row-1
  35.     for j = 2:1:col-1
  36.                 image_dilate_2(i,j) =...
  37.                 image_dilate_1(i-1,j-1)|image_dilate_1(i-1,j)|image_dilate_1(i-1,j+1)|...
  38.                 image_dilate_1(i,j-1)  |image_dilate_1(i,j)  |image_dilate_1(i,j+1)  |...
  39.                 image_dilate_1(i+1,j-1)|image_dilate_1(i+1,j)|image_dilate_1(i+1,j+1);
  40.     end
  41. end
  42. image_erode_0=zeros(row,col);
  43. for i = 2:1:row-1
  44.     for j = 2:1:col-1
  45.                 image_erode_0(i,j) =...
  46.                 image_dilate_2(i-1,j-1)&image_dilate_2(i-1,j)&image_dilate_2(i-1,j+1)&...
  47.                 image_dilate_2(i,j-1)  &image_dilate_2(i,j)  &image_dilate_2(i,j+1)  &...
  48.                 image_dilate_2(i+1,j-1)&image_dilate_2(i+1,j)&image_dilate_2(i+1,j+1);
  49.     end
  50. end
  51. image_erode_1=zeros(row,col);
  52. for i = 2:1:row-1
  53.     for j = 2:1:col-1
  54.                 image_erode_1(i,j) =...
  55.                 image_erode_0(i-1,j-1)&image_erode_0(i-1,j)&image_erode_0(i-1,j+1)&...
  56.                 image_erode_0(i,j-1)  &image_erode_0(i,j)  &image_erode_0(i,j+1)  &...
  57.                 image_erode_0(i+1,j-1)&image_erode_0(i+1,j)&image_erode_0(i+1,j+1);
  58.     end
  59. end
  60. image_erode_2=zeros(row,col);
  61. for i = 2:1:row-1
  62.     for j = 2:1:col-1
  63.                 image_erode_2(i,j) =...
  64.                 image_erode_1(i-1,j-1)&image_erode_1(i-1,j)&image_erode_1(i-1,j+1)&...
  65.                 image_erode_1(i,j-1)  &image_erode_1(i,j)  &image_erode_1(i,j+1)  &...
  66.                 image_erode_1(i+1,j-1)&image_erode_1(i+1,j)&image_erode_1(i+1,j+1);
  67.     end
  68. end
  69. subplot(331);  
  70. imshow(image_gray); title('the image gray image');
  71. subplot(332);  
  72. imshow(image_binary); title('the image binary image');
  73. subplot(334);  
  74. imshow(image_dilate_0); title('the image dilate 0 image');
  75. subplot(335);  
  76. imshow(image_dilate_1); title('the image dilate 1 image');
  77. subplot(336);  
  78. imshow(image_dilate_2); title('the image dilate 2 image');
  79. subplot(337);  
  80. imshow(image_erode_0); title('the image erode 0 image');
  81. subplot(338);  
  82. imshow(image_erode_1); title('the image erode 1 image');
  83. subplot(339);  
  84. imshow(image_erode_2); title('the image erode 2 image');
复制代码
3.1.2Matlab实验结果
image.jpg
3.2Verilog算法仿真
3.2.1Modelsim仿真
3.2.1.1仿真执行

在件夹Algorithm_simulation下进行算法的仿真,分为simsrctb三个子文件夹。在sim文件夹下有win系统的快捷执行文件sim.bat,可以一键进行仿真,src文件下放的是Verilog的核心图像算法及其顶层与输入图像激励,tb文件下放的是测试激励文件及输出图像的保存。

双击执行sim文件夹下sim.bat,自动打开Modelsim仿真,自动添加仿真波形,执行完成后自动保存图像,仿真波形如图所示:

image.jpg
3.2.1.2仿真关键部分代码解析

Sim.do执行仿真代码,文件内容如下:

  1. #
  2. # Create work library
  3. #
  4. vlib work
  5. #
  6. # Compile sources
  7. #
  8. vlog "../src/*.v"
  9. vlog "../tb/*.v"
  10. #
  11. # Call vsim to invoke simulator
  12. #
  13. vsim -voptargs=+acc work.top_tb
  14. #
  15. # Add waves
  16. #
  17. do wave.do
  18. #
  19. # Run simulation
  20. #
  21. run -all
  22. #
  23. # End
复制代码

图像输入代码部分:

  1. reg                 en;
  2. reg [12:0]         h_syn_cnt = 'd0;
  3. reg [12:0]         v_syn_cnt = 'd0;
  4. reg [23:0]         image [0 : H_ACTIVE*V_ACTIVE-1];
  5. reg [31:0]         image_cnt = 'd0;
  6. //读取txt文件到image数组中
  7. initial begin
  8.         $readmemh("../matlab_src/image_720_1280_3.txt", image);
  9. end
  10. // 行扫描计数器
  11. always@(posedge i_clk)
  12. begin
  13.         if(h_syn_cnt == H_TOTAL_TIME-1)
  14.         h_syn_cnt <= 0;
  15.     else
  16.         h_syn_cnt <= h_syn_cnt + 1;
  17. end
  18. // 列扫描计数器
  19. always@(posedge i_clk)
  20. begin
  21.         if(h_syn_cnt == H_TOTAL_TIME-1)
  22.         begin
  23.         if(v_syn_cnt == V_TOTAL_TIME-1)
  24.             v_syn_cnt <= 0;
  25.         else
  26.             v_syn_cnt <= v_syn_cnt + 1;
  27.         end
  28. end
  29. // 行同步控制
  30. always@(posedge i_clk)
  31. begin
  32.     if(h_syn_cnt < H_SYNC_TIME)
  33.         o_hsyn <= 0;
  34.     else
  35.         o_hsyn <= 1;
  36. end
  37. // 场同步控制
  38. always@(posedge i_clk)
  39. begin
  40.     if(v_syn_cnt < V_SYNC_TIME)
  41.         o_vsyn <= 0;
  42.     else
  43.         o_vsyn <= 1;
  44. end
  45. // 坐标使能.
  46. always@(posedge i_clk)
  47. begin
  48.     if(v_syn_cnt >= V_SYNC_TIME + V_BACK_PORCH && v_syn_cnt < V_SYNC_TIME + V_BACK_PORCH + V_ACTIVE)
  49.     begin
  50.         if(h_syn_cnt >= H_SYNC_TIME + H_BACK_PORCH && h_syn_cnt < H_SYNC_TIME + H_BACK_PORCH + H_ACTIVE)
  51.             en <= 1;
  52.         else
  53.             en <= 0;
  54.     end
  55.     else
  56.         en <= 0;
  57. end
  58. always@(posedge i_clk)
  59. begin
  60.     if(en)
  61.         begin
  62.                 o_r                 <= image[image_cnt][23:16];
  63.                 o_g                 <= image[image_cnt][15:8];
  64.                 o_b                 <= image[image_cnt][7:0];
  65.             image_cnt         <= image_cnt + 1;
  66.         end
  67.         else if(image_cnt == H_ACTIVE*V_ACTIVE)
  68.         begin
  69.                 o_r                 <= 8'h00;
  70.                 o_g                 <= 8'h00;
  71.                 o_b                 <= 8'h00;
  72.             image_cnt         <= 'd0;
  73.         end        
  74.     else
  75.         begin
  76.                 o_r                 <= 8'h00;
  77.                 o_g                 <= 8'h00;
  78.                 o_b                 <= 8'h00;
  79.             image_cnt         <= image_cnt;
  80.         end        
  81. end
  82. always@(posedge i_clk)
  83. begin
  84.         // if(image_cnt >= H_ACTIVE*V_ACTIVE)
  85.                 // o_en <= 0;
  86.         // else
  87.                 o_en <= en;
  88. end
复制代码

图像输出保存代码部分:

  1. reg             clk;
  2. reg             rst_n;
  3. integer                 image_txt;
  4. reg [31:0]                 pixel_cnt;
  5. wire[23:0]          data;
  6. wire            de;
  7. top u_top
  8. (
  9.     .i_clk                              (clk                ),
  10.     .i_rst_n                      (rst_n              ),
  11.     .o_gray_data             (data               ),
  12.     .o_gray_de               (de                 )
  13. );
  14. always #(1) clk = ~clk;
  15. initial
  16. begin
  17.         clk   = 1;
  18.     rst_n = 0;         
  19.         #100
  20.     rst_n = 1;
  21.         
  22. end
  23. glbl glbl();
  24. initial
  25. begin
  26.     image_txt = $fopen("../matlab_src/image_720_1280_3_out.txt");
  27. end
  28. always@(posedge clk or negedge rst_n)
  29. begin
  30.     if(!rst_n)
  31.         begin
  32.         pixel_cnt <= 0;
  33.     end
  34.     else if(de)
  35.         begin
  36.         pixel_cnt = pixel_cnt + 1;
  37.         $fwrite(image_txt,"%h\n",data);
  38.     end
  39. end
  40. always@(posedge clk )
  41. begin
  42.         if(pixel_cnt == 720*1280)
  43.         begin
  44.                 $display("*******************************************************************************");               
  45.                 $display("*** Success:image_720_1280_3_out.txt is output complete! %t", $realtime, "ps***");
  46.                 $display("*******************************************************************************");
  47.                         $fclose(image_txt);
  48.                 $stop;
  49.         end        
  50. end
复制代码
3.2.2Modelsim实验结果

matlab查看输入输出的图像代码部分:

  1. clear;clear all;clc;
  2. row = 720;  
  3. col = 1280;  
  4. n   = 3;   
  5. image_sim_pass = uint8(zeros(row,col,n));
  6. fid = fopen('image_720_1280_1_out.txt','r');
  7. for x = 1:row
  8.     for y = 1:col
  9.         RGB = fscanf(fid,'%s',1);
  10.         image_sim_pass(x,y,1) = uint8(hex2dec(RGB(1:2)));
  11.        % image_sim_pass(x,y,2) = uint8(hex2dec(RGB(3:4)));
  12.        % image_sim_pass(x,y,3) = uint8(hex2dec(RGB(5:6)));              
  13.     end
  14. end
  15. fclose(fid);
  16. image_1 = imread('lena_1280x720.jpg');
  17. subplot(121);
  18. imshow(image_1), title('The original image');
  19. subplot(122);
  20. imshow(image_sim_pass),title('After processing images');
  21. imwrite(image_sim_pass,'lena_720x128_sim_pass.jpg');   
复制代码
image.jpg
4工程实现
4.1Verilog代码分析
  1. module image_close_filtering
  2. (
  3.         input   wire                                i_clk,
  4.         input   wire                                i_rst_n,
  5.         input        wire                                i_hsyn,
  6.         input        wire                                i_vsyn,
  7.         input        wire                                i_en,
  8.         input        wire [7:0]                        i_binary,
  9.         
  10.         output        wire                                 o_hs,
  11.         output        wire                                 o_vs,
  12.         output        wire                                 o_en,        
  13.         output  wire [7:0]                        o_binary
  14. );
  15. wire                 erode_hsyn;
  16. wire                 erode_vsyn;
  17. wire                 erode_de;        
  18. wire [7:0]        erode_data;
  19. wire                 dilate_hsyn;
  20. wire                 dilate_vsyn;
  21. wire                 dilate_de;        
  22. wire [7:0]        dilate_data;
  23. assign                 o_hs                = erode_hsyn;
  24. assign                 o_vs                = erode_vsyn;
  25. assign                 o_en                = erode_de;               
  26. assign                 o_binary        = erode_data;
  27. image_dilate_filtering u_image_dilate_filtering
  28. (
  29.         .i_clk                        (i_clk                                ),
  30.         .i_rst_n                (i_rst_n                        ),
  31.         .i_hsyn                    (i_hsyn                                ),
  32.         .i_vsyn                    (i_vsyn                                ),
  33.         .i_en                    (i_en                                ),
  34.         .i_binary                (i_binary                        ),
  35.         .o_hs                    (dilate_hsyn                ),
  36.         .o_vs                    (dilate_vsyn                ),
  37.         .o_en                    (dilate_de                        ),        
  38.         .o_binary                (dilate_data                )
  39. );
  40. image_erode_filtering u_image_erode_filtering
  41. (
  42.         .i_clk                        (i_clk                                ),
  43.         .i_rst_n                (i_rst_n                        ),
  44.         .i_hsyn                    (dilate_hsyn                ),
  45.         .i_vsyn                    (dilate_vsyn                ),
  46.         .i_en                    (dilate_de                        ),
  47.         .i_binary                (dilate_data                ),
  48.         .o_hs                    (erode_hsyn                        ),
  49.         .o_vs                    (erode_vsyn                        ),
  50.         .o_en                    (erode_de                        ),        
  51.         .o_binary                (erode_data                 )
  52. );
  53. endmodule
复制代码
4.2工程结构
image.jpg
5上板实验
image.jpg

点击下载后,可以看到正常的输出如下所示:

image.jpg










































您需要登录后才可以回帖 登录 | 立即注册

本版积分规则

0

关注

0

粉丝

272

主题
精彩推荐
热门资讯
网友晒图
图文推荐

  • 微信公众平台

  • 扫描访问手机版