[X]关闭

MK7325t开发板做lvds差分实验,lvds无输出

文档创建者:诚安
浏览次数:6990
最后更新:2019-08-20
在MK7325t开发板上使用FEP-to_NEP子卡做差分输出实验,从同一个寄存器变量出方波,一路出单端,一路出差分。单端的用示波器能量到波形,差分的没有输出。后查看电路图,发现bank13只供了3.3V电压,在约束里选了TMDS_3.3后,依然没有输出。所以请管理员指导下是说明问题? 谢谢!

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x

发表评论已发布 2

mlink_fae

发表于 2019-8-20 17:21:49 | 显示全部楼层

本帖最后由 mlink_fae 于 2019-8-20 17:26 编辑

这个转接板是fep转nep ,转出来的IO是GPIO 不是LVDS信号。使用的 转接板不对。

我们推荐使用的LVDS的电压是LVDS_25,即使用K7,需要调整核心板的FEP接口 IO 对应的BANK的电压,使FEP的接口电压调整为2v5输出。

uisrc

发表于 2019-8-20 19:39:54 | 显示全部楼层

1、MK7325默认的电压是3.3V,XLINX FPGA 3.3V 差分只能使用TMDS,而且需要通过50欧姆上拉到VCC3.3V
2、修改核心板ADJ电阻到2.5V 对于HR BANK 支持LVDS_25,并且可以配置FPGA内部的100R电阻
3、修改核心板ADJ电阻到1.8V 对于HP BANK 可以直接使用,对于HR BANK 需要注意的比较多,目前没有推荐的方案。4、详细的技术参数可以看ug471_7Series_SelectIO.pdf
5、下面是官方的一些解决说明:
Description
Can 7 series High Range (HR) I/O banks be powered at 3.3V or support the LVDS_33 I/O standard?

If using a LVDS_33 output on an older Spartan family, is it possible to connect this differential signal into:


a 7 series HR I/O bank differential pin pair?
a 7 series HP I/O bank differential pin pair?
Can LVDS inputs be used in an HP I/O bank that is not powered at 1.8V?

Can LVDS_25 inputs be used in an HR I/O bank that is not powered at 2.5V?

Solution
3.3V LVDS:

The "LVDS_33" I/O Standard that was available in some older FPGA families, is not supported in 7 series.
Neither High Range (HR) banks, nor High Performance (HP) banks can have their VCCO pins powered at 3.3V (if using LVDS outputs).
Older families LVDS_33 outputs may be supportable in the 7 series I/O banks, but care must be used to ensure that:
Running signal integrity simulations using IBIS or HSPICE models may be required in order to insure that those two items are not violated.


Vin in Table 1 and 2 of the Data Sheet is not violated.
VIDIFF and VICM for LVDS (HP banks) or LVDS_25 (HR banks) is not violated.
Using LVDS or LVDS_25 inputs when the VCCO is not set to the proper voltage level:

It is acceptable to have LVDS inputs in HP I/O banks even if the VCCO level is not 1.8V.  LVDS outputs (and therefore bidirectional LVDS) can only be used in a bank powered at 1.8V.
Similarly, it is acceptable to have LVDS_25 inputs in HR I/O banks even if the VCCO level is not 2.5V.  LVDS_25 outputs (and therefore bidirectional LVDS_25) can only be used in a bank powered at 2.5V.

However, the following must be true:

The DIFF_TERM attribute must be FALSE - meaning, you will need to use an external differential termination resistor.
Ensure that the VOD and VOCM levels of the driving device fall within the range of VIDIFF and VICM of the 7 series receiver, and that the VIN in Table 1 and 2 of the data sheet are not violated.


Table 1-55 in the 7 Series FPGAs SelectIO Resources User Guide (UG471) outlines what the VCCO and VREF voltage rail requirements are for all of the supported I/O standards, with different columns for inputs vs. outputs (bidirectional pins would need to adhere to both).



LVDS Interface Checklist:

If you are interfacing via LVDS you can follow the steps in the flow charts below to ensure you meet all the requirement for correct LVDS usage.





本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?立即注册

x
越努力越幸运!加油!
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则