[X]关闭

MIZ702学习笔记ex3遇到的问题

文档创建者:yukine
浏览次数:5476
最后更新:2015-12-12
用的vivado版本为2013.4
板子是zedboard。
按照宋公的教程一步步做下去都完整,最后下载比特流到板子上时候却只亮了led0一盏灯。
这是流水灯程序
module led_test(
        input CLK,//时钟信号   
        input RSTn,//复位信号
        output reg [7:0]LED
    );
        reg [31:0]C0;
    always @(posedge CLK or negedge RSTn)//时钟信号上升沿或者复位信号下降沿
       if(!RSTn)
       begin
           LED <= 8'b0000_0001;
           C0 <= 32'h0;
       end
       else
       begin
           if(C0 == 32'd50_000_000)
           begin
                C0 <= 32'h0;
                if(LED == 8'b1000_0000)
                LED <= 8'b0000_0001;
                else LED <= LED << 1;
           end
           else begin C0 <= C0 + 1'b1; LED <= LED; end
       end

endmodule

然后是约束文件
set_property PACKAGE_PIN Y9 [get_ports {CLK}]
set_property IOSTANDARD LVCMOS33 [get_ports {CLK}]

set_property PACKAGE_PIN N15 [get_ports {RSTn}]
set_property IOSTANDARD LVCMOS18 [get_ports {RSTn}]

set_property PACKAGE_PIN T22 [get_ports {LED[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[0]}]
set_property PACKAGE_PIN T21 [get_ports {LED[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[1]}]
set_property PACKAGE_PIN U22 [get_ports {LED[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[2]}]
set_property PACKAGE_PIN U21 [get_ports {LED[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[3]}]
set_property PACKAGE_PIN V22 [get_ports {LED[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}]
set_property PACKAGE_PIN W22 [get_ports {LED[5]}]
set_property PACKAGE_PIN U19 [get_ports {LED[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}]
set_property PACKAGE_PIN U14 [get_ports {LED[7]}]

但是结果只亮了一盏灯,是vivado版本问题还是zedboard板和miz702有不同?

发表评论已发布 2

uisrc

发表于 2015-12-11 18:33:15 | 显示全部楼层

这个例子和MIZ702开发板配套的,你仔细找找什么地方出问题了
越努力越幸运!加油!

RZJM

发表于 2015-12-12 23:48:49 | 显示全部楼层

大部分都是一样的,有一组switch 开关 不一样,其余的几乎都一样。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则