[X]关闭

[米联派-安路飞龙DR1-FPSOC] FPGA基础篇连载-14 SPI MASET发送程序设计

文档创建者:FPGA课程
浏览次数:145
最后更新:2024-09-19
文档课程分类-安路-DR1
安路-DR1: FPSOC-DR1-FPGA部分 » 2_FPGA实验篇(仅旗舰) » 1-FPGA基础入门实验
​ 软件版本:Anlogic -TD5.9.1-DR1_ES1.1
操作系统:WIN10 64bit
硬件平台:适用安路(Anlogic)FPGA
实验平台:米联客-MLKPAI-SF01-DR1M90M开发板
板卡获取平台:https://milianke.tmall.com/
登录“米联客”FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!

1概述
SPI的发送器驱动程序主要围绕SPI_MOSI以及SPI_SCLK来设计。通过前面的SPI协议学习,我们这里设计的SPI驱动程序需要支持CPHA=0 CPOL=0; CPHA=1 CPOL=0; CPHA=0 CPOL=1; CPHA=1 CPOL=1四种情况。CPHA用于控制SPI接收器的采样时钟位置,CPOL用于设置SPI_SCLK的初始电平是高电平还是低电平。
2程序设计2.1系统框图
本次实验设计一个SPI Master(SPI_MOSI)发送驱动,包含SPI的四种工作模式。SPI Master(SPI_MOSI)共有两个模块,分别为顶层模块spi_master_tx和发送驱动模块ui_mspi_tx。我们米联客设计的驱动接口,一般将接口驱动程序和驱动控制程序分开编写,这样的好处可以让代码层次更加清晰,实用维护更加方便。
SPI Master发送驱动器模块:
根据上一节课关于SPI通信原理的学习,我们知道要开始SPI通信,主机必须发送时钟信号,系统时钟一般运行于较高速度,而SPI的SCLK需要基于系统时钟分频后产生,所以首先需要设计一个分频器,并设置CPOL信号控制SCLK的空闲状态。并行数据需要通过MOSI总线发送出去,因此需要一个并串移位模块,将并行数据转成串行数据一位一位发送出去,并设置CPHA信号控制数据的采样时刻。
为了方便SPI Master主控制器可以方便使用该驱动程序,设计数据控制器模块,用来保存要发送的数据。使用I_spi_tx_req以及O_spi_busy用于信号的握手,在以后米联客的代码中,接口之间的握手也会采用类似信号和时序。用户程序通过设置I_spi_tx_req为高,请求发送驱动器发送数据;设置O_spi_busy为1,表示发送总线正忙,这时用户程序需要等待非忙的时候,请求发送数据。
ac8051b9cbed47eaa9c8e28937bca6a2.jpg
根据以上分析,发送驱动程序包含基本的时钟分频器、数据控制器、并串移位模块、CPOL控制、CPHA控制。
078b28046cc5428794148274d9d4e46e.jpg
时钟分频器模块
系统时钟一般运行于较高速度,而SPI的SCLK需要基于系统时钟分频后产生,所以首先需要设计一个分频器,用于对SCLK分频,当spi_en拉高代表启动传输,clk_div开始计数,计满清0。
  1. localparam [9:0] SPI_DIV     = CLK_DIV;                             //第二时钟边沿计数器
  2. localparam [9:0] SPI_DIV1    = SPI_DIV/2;                           //第一时钟边沿计数器

  3. always@(posedge I_clk)begin                                          //时钟分频器
  4.     if(spi_en == 1'b0)
  5.         clk_div <= 10'd0;
  6.     else if(clk_div < SPI_DIV)
  7.         clk_div <= clk_div + 1'b1;
  8.     else
  9.         clk_div <= 0;
  10. end
复制代码
SCLK模块:
SCLK可以支持CPOL=0(空闲状态输出低电平)和CPOL=1(空闲状态输出高电平)
首先我们可以设置一个内部参考时钟,这个时钟默认的时钟极性为CPOL=0的情况,当我们设置CPOL=0或者CPOL=1的时候我们只要对时钟采取不取反或者取反操作,最后赋值给O_spi_sclk。
内部的SCLK通过clk_en1和clk_en2的触发时刻来实现电平的输出和切换。
59e699da721b4c9a991d871f20770a45.jpg
  1. assign      clk_en1     = (clk_div == SPI_DIV1);               //第一内部时钟边沿使能
  2. assign      clk_en2     = (clk_div == SPI_DIV);                 //第二内部时钟边沿使能
  3. assign      O_spi_sclk  = (CPOL == 1'b1) ? ~spi_clk : spi_clk;//设置SPI时钟的初始电平

  4. always@(posedge I_clk)begin                                     //生成spi内部时钟
  5.         if(spi_en == 1'b0)
  6.             spi_clk <= 1'b0;
  7.     else if(clk_en2)
  8.             spi_clk <= 1'b0;                                 //第二时钟边沿
  9.         else if(clk_en1&&(tx_cnt<4'd8))                     //第一时钟边沿
  10.             spi_clk <= 1'b1;
  11.     else
  12.         spi_clk <= spi_clk;
  13. end
复制代码
数据控制器设计
fe8a415da70e4b9c875c93cd29885c23.jpg
数据控制器是SPI-Master发送驱动器设计中最关键的部分,数据控制器包括驱动控制接口,也包含了SPI数据部分的并串移位模块。
当SPI的控制器部分发送了I_spi_tx_req为高电平后,下一个系统时钟周期数据会被寄存到spi_tx_data_r并且设置spi_en为高电平,之后时钟分频模块、SCLK模块等开始工作。同时设置spi_busy信号为高电平,通知SPI控制器SPI驱动器已经处于工作状态。
  1. assign      clk_end     = (clk_div == SPI_DIV1)&&(tx_cnt==4'd8);
  2. assign      O_spi_mosi  = spi_tx_data_r[7];
  3. assign      O_spi_busy  = spi_en;
  4. ……
  5. ……
  6. //spi发送模块
  7. always@(posedge I_clk)begin                                           //spi发送模块
  8.     if(I_rstn == 1'b0 || clk_end)begin
  9.         spi_en <= 1'b0;
  10.         spi_tx_data_r <= 8'h00;
  11.     end
  12.     else if(I_spi_tx_req&&(spi_en == 1'b0)) begin                    //启用传输
  13.             spi_en <= 1'b1;
  14.             spi_tx_data_r <= I_spi_tx_data;
  15.     end
  16.     else if(spi_en)begin
  17.          spi_tx_data_r[7:0] <= (spi_strobe) ? {spi_tx_data_r[6:0],1'b1} : spi_tx_data_r;
  18.     end

  19. end  
复制代码
移位数据的更新通过spi_stroble控制,spi_stroble根据CPHA的设置决定是clk_en1更新数据还是clk_en2更新数据。clk_en1和SCLK的第1个跳变沿同步,clk_en2和SCLK的第2个跳变沿同步。
//当CPHA=0时,数据的第一个SCLK转换边缘被采样,因此数据更新在第二个转换边缘上//当CPHA=1时,数据的第二个SCLK转换边缘被采样,因此数据更新在第一个转换边缘上assign     
  1. //当CPHA=0时,数据的第一个SCLK转换边缘被采样,因此数据更新在第二个转换边缘上
  2. //当CPHA=1时,数据的第二个SCLK转换边缘被采样,因此数据更新在第一个转换边缘上
  3. assign      spi_strobe  = CPHA ? clk_en1&spi_strobe_en : clk_en2&spi_strobe_en ;

  4. always@(posedge I_clk)begin  
  5.           if(I_rstn == 1'b0)
  6.              spi_strobe_en <= 1'b0;
  7.           else if(tx_cnt < 4'd8)
  8.             begin
  9.                if(clk_en1)
  10.                  spi_strobe_en <= 1'b1;   
  11.                else
  12.                  spi_strobe_en <= spi_strobe_en;
  13.             end
  14.           else
  15.                spi_strobe_en <= 1'b0;         
  16. end

  17. always@(posedge I_clk)begin  
  18.           if((I_rstn == 1'b0)||(spi_en == 1'b0))
  19.              tx_cnt <= 4'd0;
  20.           else if(clk_en1)
  21.              tx_cnt <= tx_cnt + 1'b1;      
  22. end
复制代码
SPI Master发送控制器设计
发送控制器设计核心部分在于状态机的设计。M_S状态机只有2个状态,M_S==0状态等待SPI-Master驱动器非忙的情况下,发送数据发送请求信号,并且在M_S==1状态等待数据确认进入忙状态后,再次回到状态0等待空闲,如果总线空闲发送下一个测试数据。
在SPI Master发送控制器的设计中,核心状态机部分首先设置spi_tx_req=1启动一次SPI传输(状态0),发送一次数据,下一个待发送数据计数加1并存储在spi_tx_data,开始传输后进入状态1,spi_busy为高电平时代表正在传输,设置spi_tx_req=0,并且等待spi_busy变为低电平,之后可以进行下一次的数据传输。
7f20e0ddf4ff4dd2898c68d07bcb899a.jpg
2.2驱动源码
  1. `timescale 1ns / 1ps                                            //定义仿真时间刻度/精度

  2. module ui_mspi_tx#
  3. (
  4. parameter CLK_DIV = 100,
  5. parameter CPOL = 1'b0,                                            //时钟极性参数设置
  6. parameter CPHA = 1'b0                                             //时钟相位参数设置
  7. )
  8. (
  9. input       I_clk,                                                 //系统时钟输入
  10. input       I_rstn,                                                //系统复位输入
  11. output      O_spi_mosi,                                            //发送SPI数据
  12. output      O_spi_sclk,                                            //发送SPI时钟
  13. input       I_spi_tx_req,                                         //发送数据请求
  14. input [7:0] I_spi_tx_data,                                        //发送数据  
  15. output      O_spi_busy                                            //发送状态忙,代表正在发送数据
  16. );

  17. localparam [9:0] SPI_DIV     = CLK_DIV;                         //第二时钟边沿计数器
  18. localparam [9:0] SPI_DIV1    = SPI_DIV/2;                       //第一时钟边沿计数器

  19. reg [9:0]   clk_div  = 10'd0;   
  20. reg         spi_en   = 1'b0;
  21. reg         spi_clk  = 1'b0;
  22. reg [3:0]   tx_cnt   = 4'd0;
  23. reg [7:0]   spi_tx_data_r=8'd0;
  24. wire        clk_end;
  25. wire        clk_en1;                                           //第一内部时钟边沿使能
  26. wire        clk_en2;                                           //第二内部时钟边沿使能
  27. reg         spi_strobe_en;
  28. wire        spi_strobe;                                        //CPHA=0数据在第一时钟边沿上传输,CPHA=1数据在第二时钟边沿上发送

  29. assign      clk_en1     = (clk_div == SPI_DIV1);//第一内部时钟边沿使能
  30. assign      clk_en2     = (clk_div == SPI_DIV);//第二内部时钟边沿使能
  31. assign      clk_end     = (clk_div == SPI_DIV1)&&(tx_cnt==4'd8);
  32. //计数器发送第一个内部时钟0到7次,当计数达到最后8时,不发送时钟//当CPHA=0时,数据的第一个SCLK转换边缘被采样,因此数据更新在第二个转换边缘上
  33. //当CPHA=1时,数据的第二个SCLK转换边缘被采样,因此数据更新在第一个转换边缘上
  34. assign      spi_strobe  = CPHA ? clk_en1&spi_strobe_en : clk_en2&spi_strobe_en ;
  35. assign      O_spi_sclk  = (CPOL == 1'b1) ? ~spi_clk : spi_clk;//设置SPI时钟的初始电平
  36. assign      O_spi_mosi  = spi_tx_data_r[7];
  37. assign      O_spi_busy  = spi_en;

  38. always@(posedge I_clk)begin                                   //时钟分频器
  39.     if(spi_en == 1'b0)
  40.         clk_div <= 10'd0;
  41.     else if(clk_div < SPI_DIV)
  42.         clk_div <= clk_div + 1'b1;
  43.     else
  44.         clk_div <= 0;
  45. end
  46. always@(posedge I_clk)begin                                   //生成spi内部时钟
  47.         if(spi_en == 1'b0)
  48.             spi_clk <= 1'b0;
  49.     else if(clk_en2)
  50.             spi_clk <= 1'b0;                                   //第二时钟边沿
  51.         else if(clk_en1&&(tx_cnt<4'd8))                       //第一时钟边沿
  52.             spi_clk <= 1'b1;
  53.     else
  54.         spi_clk <= spi_clk;
  55. end

  56. always@(posedge I_clk)begin  
  57.           if(I_rstn == 1'b0)
  58.              spi_strobe_en <= 1'b0;
  59.           else if(tx_cnt < 4'd8)begin
  60.                if(clk_en1) spi_strobe_en <= 1'b1;   
  61.           end
  62.           else
  63.                spi_strobe_en <= 1'b0;         
  64. end

  65. always@(posedge I_clk)begin  
  66.           if((I_rstn == 1'b0)||(spi_en == 1'b0))
  67.              tx_cnt <= 4'd0;
  68.           else if(clk_en1)
  69.              tx_cnt <= tx_cnt + 1'b1;      
  70. end

  71. always@(posedge I_clk)begin                                           //spi发送模块
  72.     if(I_rstn == 1'b0 || clk_end)begin
  73.         spi_en <= 1'b0;
  74.         spi_tx_data_r <= 8'h00;
  75.     end
  76.     else if(I_spi_tx_req&&(spi_en == 1'b0)) begin                    //启用传输
  77.             spi_en <= 1'b1;
  78.             spi_tx_data_r <= I_spi_tx_data;
  79.     end
  80.     else if(spi_en)begin
  81.          spi_tx_data_r[7:0] <= (spi_strobe) ? {spi_tx_data_r[6:0],1'b1} : spi_tx_data_r;
  82.     end

  83. end   

  84. endmodule
复制代码
SPI Master发送控制器源码
SPI Master的发送控制器根据不同的实际应用需要一次或者多出把一个或者多个数据发送出去,在本实验中,演示了发送连续的加计数器数据的方法。
  1. `timescale 1ns / 1ps

  2. module spi_master_tx#
  3. (
  4. parameter CLK_DIV = 100        
  5. )
  6. (
  7. input  I_clk,                                          //输入时钟
  8. input  I_rstn,                                         //系统复位
  9. output O_spi_sclk,                                     //SPI发送时钟
  10. output O_spi_mosi                                      //SPI发送数据
  11. );

  12. wire        spi_busy;                                     //SPI忙信号
  13. reg         spi_tx_req;                                   //SPI发送req信号,有发送需求时拉高
  14. reg [7:0]   spi_tx_data;                                  //待发送数据存储
  15. reg [1:0]   M_S;                                           //状态机

  16. //spi send state machine
  17. always @(posedge I_clk) begin
  18.     if(!I_rstn) begin                                      //拉低复位
  19.         spi_tx_req  <= 1'b0;
  20.         spi_tx_data <= 8'd0;
  21.         M_S <= 2'd0;
  22.     end
  23.     else begin
  24.         case(M_S)
  25.         0:if(!spi_busy)begin                            //总线不忙启动传输
  26.            spi_tx_req  <= 1'b1;                         //req信号拉高,开始传输
  27.            spi_tx_data <= spi_tx_data + 1'b1;          //测试数据
  28.            M_S <= 2'd1;
  29.         end
  30.         1:if(spi_busy)begin                             //如果spi总线忙,清除spi_tx_req
  31.            spi_tx_req  <= 1'b0;
  32.            M_S <= 2'd0;
  33.         end
  34.         default:M_S <= 2'd0;
  35.         endcase
  36.     end
  37. end   

  38. //例化SPI Master发送驱动器
  39. ui_mspi_tx#
  40. (
  41. .CLK_DIV(CLK_DIV),
  42. .CPOL(1'b0),                                  //CPOL参数设置,可调整
  43. .CPHA(1'b0)                                   //CPHA参数设置,可调整
  44. )
  45. ui_mspi_tx_inst(
  46. .I_clk(I_clk),                              //系统时钟输入
  47. .I_rstn(I_rstn),                            //系统复位输入
  48. .O_spi_mosi(O_spi_mosi),                   //SPI发送数据串行总线
  49. .O_spi_sclk(O_spi_sclk),                   //SPI发送时钟总线
  50. .I_spi_tx_req(spi_tx_req),                  //SPI发送(写)数据请求
  51. .I_spi_tx_data(spi_tx_data),                //SPI发送(写)数据
  52. .O_spi_busy(spi_busy)                        //SPI发送驱动器忙
  53. );
  54. endmodule
复制代码
M_S状态机只有2个状态,M_S==0状态等待SPI-Master驱动器非忙的情况下,发送数据发送请求信号,并且在M_S==1状态等待数据确认进入忙状态后,再次回到状态0等待空闲,如果总线空闲发送下一个测试数据。
3RTL仿真
3.1仿真激励文件
Modelsim仿真的创建过程不再重复,如有不清楚的请看前面实验
本实验以仿真的方式演示,仿真激励信号提供一个系统时钟即可
  1. `timescale 1ns / 1ps
  2. module master_spi_tb;
  3. localparam      SYS_TIME   =  'd20;//时钟周期,以ns为单位
  4. reg             I_sysclk;               //系统时钟
  5. reg rstn_i;  
  6. wire spi_sclk_o;
  7. wire spi_mosi_o;

  8. spi_master_tx#
  9. (
  10. .CLK_DIV(100)                                    //设置时钟参数,可以减少仿真时间
  11. )
  12. spi_master_tx_inst(
  13. .I_clk(I_sysclk),
  14. .I_rstn(rstn_i),
  15. .O_spi_sclk(spi_sclk_o),
  16. .O_spi_mosi(spi_mosi_o)
  17. );

  18. initial begin
  19.     I_sysclk  = 1'b0;                              //设置时钟基础值
  20.     rstn_i = 1'b0;                              //低电平复位
  21.     #100;
  22.     rstn_i = 1'b1;                             //复位释放

  23. #2000000 $finish;
  24. end

  25. always #(SYS_TIME/2) I_sysclk = ~I_sysclk;     //产生主时钟

  26. endmodule
复制代码
以下启动modelsim仿真
3.2SPI发送驱动代码仿真CPHA=0 CPOL=0
如下图所示,当CPHA=0 CPOL=0,代表SPI的SCLK默认是低电平,SPI接收器在SCLK第1个时钟沿采样。SPI发送驱动器数据在SCLK的第2个时钟沿更新,确保SPI下一个SCLK的第1个时钟沿数据有足够的建立和保持时间。下图以发送8’h02为例。
图片7.jpg
3.3SPI发送驱动代码仿真CPHA=1 CPOL=0
如下图所示,当CPHA=1 CPOL=0,代表SPI的SCLK默认是低电平,SPI接收器在SCLK第2个时钟沿采样。SPI发送驱动器数据在下一个SCLK的第1个时钟沿更新,确保SPI下一个SCLK的第2个时钟沿数据有足够的建立和保持时间。下图以发送8’h02为例。
图片8.jpg
3.4SPI发送驱动代码仿真CPHA=0 CPOL=1
和CPHA=0 CPOL=0这种设置相比,时钟SCLK取反
图片9.jpg
3.5SPI发送驱动代码仿真CPHA=1 CPOL=1
和CPHA=1 CPOL=0这种设置相比,时钟SCLK取反
图片10.jpg


您需要登录后才可以回帖 登录 | 立即注册

本版积分规则