[X]关闭

[米联客-XILINX-H3_CZ08_7100] LINUX驱动篇连载-05 基于设备树的平台驱动

文档创建者:LINUX课程
浏览次数:306
最后更新:2024-09-09
文档课程分类-AMD-ZYNQ
AMD-ZYNQ: ZYNQ-SOC » 2_LINUX应用开发
本帖最后由 LINUX课程 于 2024-9-11 11:06 编辑

软件版本:vitis2021.1(vivado2021.1)
操作系统:WIN10 64bit
硬件平台:适用XILINX Z7/ZU系列FPGA
登录“米联客”FPGA社区-www.uisrc.com视频课程、答疑解惑!

1 概述
在完成了平台总线的学习之后,我们将进行设备树的学习。设备树实际上是对平台总线的一种简化。学习完本章之后,你应该能有所了解。
实验目的:
  • 理解设备树的结构。
  • 掌握设备树的编写。
  • 理解为什么需要设备树。
  • 掌握基于设备树的驱动编写。
2 系统框图
        和平台总线实现的驱动不同的地方在于,设备树将平台设备,也就是platform_device进行了完全的抽象,对于不同的设备并不需要去写一个个平台设备。而只是需要修改设备树就行了。设备树的框图具体如下。
图片11.jpg
        实质上与平台总线的实现并没有太大的区别,重要的是,通过设备树简化了平台设备的部分。
3 介绍
        这个部分将主要讲述设备树的结构。设备树启动过程。设备树该如何写。
3.1 设备树
        设备树是一个用节点描述系统中设备的树状结构。如下图。
image.jpg
一根节点多个子节点,子节点又可以包含多个子节点,以树状的结构散开。在程序分析部分将会看到详细的设备树的代码。
(在部署好开发环境的虚拟机上的 /uisrc-lab-xlnx/sources/kernel/arch/arm64/boot/dts/xilinx 文件中能够查看到具体的设备树文件。这个目录也是之前制作系统时,所替换设备树文件的地方。)
3.2 设备树文件类型
        在设备树目录内,将会出现不同后缀名的文件。这里介绍一下,不同后缀名的意思。
早期的Linux还没有设备树这个概念的时候,为了防止内核的体积太过臃肿,设计了平台设备和平台驱动两个结构,但是随着设备树的引进,平台设备被设备树所取代。
在编写驱动程序时,我们只需关心设备树和平台驱动两样东西,使用平台驱动直接调用设备树的内容。
在了解设备树之前,先要搞清楚以下几个概念:
  • DTS:dts文件是对Device Tree的描述,放置在内核的/arch/arm64/boot/dts目录,描述了一个板子的硬件资源。以前写在mach-xxx文件中的内容被转成了dts文件。
  • DTC:编译工具,存放在目录scripts/dtc位置,它可以将.dts文件编译成.dtb文件。
  • DTB:DTC编译*.dts生成的二进制文件(.dtb),bootloader在引导内核时,会预先读取.dtb到内存,进而由内核解析。
  • DTSI:由于同一系列SOC很多相同的地方,为了减少代码的冗余,设备树将这些共同部分提炼保存在.dtsi文件中,供不同的dts共同使用。
3.3 dts节点(node)
图片12.jpg
设备树节点是用节点名和单元地址和一个用大括号作为节点定义的开始和结尾来定义的。
  • [lable:]:设备树文件允许标签附加在任何节点或者属性上。
  • node-name:是指节点的名字。
  • [@unit-address]:是指节点所在的基地址。
  • [properties definitions]:是指相关属性的定义。
  • [child nodes]:是指相关的子节点。
如果父节点中有子节点相同的属性,那么以设备树的父节点的属性为主。
3.4 dts文件结构
        所有的dts文件都需要有一个root节点,并且root节点内必须有一个cpus节点和至少一个的memory节点。如下图。
图片13.jpg
3.5 root节点
        设备树文件有一个root节点,所有的其他设备节点都是它的子节点。下面展示的是它的一些必须属性和可选属性。
image.jpg
3.6 aliases节点
        设备树可以有一个别名节点,也就是aliases节点。用来定义一个或者更多的属性。别名节点只能存在于设备树的root节点中,并且节点名字为aliaes。
        客户端程序会通过alias的属性名来引用设备的全路径,或者部分路径。
3.7 chosen节点
        /chosen 节点并不代表系统中的一个真实的设备,但是,描述了当系统固件在运行的时候会被选择或者指定的参数。这个节点一定得在root节点下。下图是他的可选属性。
image.jpg
3.8 memory节点
        所有的设备树文件都需要内存设备节点,用来描述系统物理内存的布局。如果一个系统有多个范围的内存,多个内存节点将会被创建。或者可以在一个单独的内存节点的reg属性中指定多个范围的内存。下图是它可选和必须属性。
image.jpg
3.9 cpus节点
设备树所必须的cpus节点,它不代表系统中一个真实的设备。它作为一个系统cpus的子cpu节点的容器存在。下图是它所需的属性。
image.jpg
1:/cpus/cpu节点
一个cpu节点就代表一个硬件的可执行块。能够运行操作系统而不会受到运行其他操作系统cpu的干扰。下图为它所需的属性。
image.jpg
4 搭建工程
这个部分主要讲如何把dts文件放入开发环境,然后编译成系统文件。当然,附件中已经提供好修改过设备树的文件,在BOOT内,只需要将挂载的SD卡中的BOOT部分替换为附件中的就行。
4.1 根据dts文件制作系统
在已经部署好开发环境的基础上进行,确定系统的其他文件已经拷贝至对应目录。参考“[米联客-XILINX-H3_CZ08_7100] LINUX驱动篇连载-01 Hello World”的制作系统部分。这里在已经制作过的系统的基础上,仅替换 dts 设备文件,做简单演示。
将“6.DeviceTree”中“soc_dts”文件夹内的dts文件复制至“boards/mz7x/ubuntu/output/files/kernel-dts”、“boards/mz7x/ubuntu/output/files/uboot-dts”两个文件夹内。
image.jpg
image.jpg
在 “uisrc-lab-xlnx” 目录下,打开终端输入“source /script/mz7xcfg.sh”,先后输入 “move_file.sh”, “make_uboot.sh”,“make_kernel.sh”,“create_image.sh”。
image.jpg
在完成了系统制作之后,打开“uisrc-lab-xlnx/boards/mz7x/ubuntu/images/boot”。找到生成的boot文件。将其拷贝复制进U盘的BOOT文件内。
image.jpg
4.2 上传驱动文件
将“DriveTree”文件夹上传至虚拟机。使用各种方式都可以。
image.jpg
至此,准备工作已经完成。
5 程序分析
程序分析部分和之前有些不一样,这次除了分析驱动文件之外,还需要分析一个设备树文件。在理解前面的设备树介绍的情况下,我们来实践分析一下设备树文件。
5.1 设备树分析
zynq-mz7x.dts
  1. /*
  2. *  Copyright (C) 2011 - 2014 Xilinx
  3. *  Copyright (C) 2012 National Instruments Corp.
  4. *
  5. * This software is licensed under the terms of the GNU General Public
  6. * License version 2, as published by the Free Software Foundation, and
  7. * may be copied, distributed, and modified under those terms.
  8. *
  9. * This program is distributed in the hope that it will be useful,
  10. * but WITHOUT ANY WARRANTY; without even the implied warranty of
  11. * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
  12. * GNU General Public License for more details.
  13. */
  14. /dts-v1/;
  15. /include/ "zynq-7000.dtsi"

  16. / {
  17. model = "Zynq MZ7X Development Board";
  18. compatible = "xlnx,zynq-MZ7X", "xlnx,zynq-7000";

  19. aliases {
  20.   ethernet0 = &axi_ethernet_0;
  21.   ethernet1 = &gem1;
  22.   serial0 = &uart0;
  23.   spi0 = &qspi;
  24.   mmc0 = &sdhci0;
  25.   mmc1 = &sdhci1;
  26. };

  27. memory@0 {
  28.   device_type = "memory";
  29.   reg = <0x0 0x40000000>;
  30. };

  31. chosen {
  32.   bootargs = "";
  33.   stdout-path = "serial0:115200n8";
  34. };

  35. usb_phy1: phy0@e0003000 {
  36.   compatible = "ulpi-phy";
  37.   #phy-cells = <0>;
  38.   reg = <0xe0003000 0x1000>;
  39.   view-port = <0x0170>;
  40.   drv-vbus;
  41. };

  42. gpio-led {
  43.   compatible = "xlnx,zynqmp-led-1.0";
  44.   gpios = <&gpio0 0x7 0x0>;
  45. };
  46. };

  47. / {
  48. cpus {
  49.   cpu@0 {
  50.    operating-points = <666666 1000000 333333 1000000>;
  51.   };
  52. };
  53. };

  54. / {
  55. amba_pl: amba_pl {
  56.   #address-cells = <1>;
  57.   #size-cells = <1>;
  58.   compatible = "simple-bus";
  59.   ranges ;
  60.   axi_dma_0: dma@40400000 {
  61.    #dma-cells = <1>;
  62.    axistream-connected = <&axi_ethernet_0>;
  63.    axistream-control-connected = <&axi_ethernet_0>;
  64.    clock-names = "s_axi_lite_aclk", "m_axi_sg_aclk", "m_axi_mm2s_aclk", "m_axi_s2mm_aclk";
  65.    clocks = <&clkc 15>, <&clkc 15>, <&clkc 15>, <&clkc 15>;
  66.    compatible = "xlnx,eth-dma";
  67.    interrupt-names = "mm2s_introut", "s2mm_introut";
  68.    interrupt-parent = <&intc>;
  69.    interrupts = <0 29 4 0 30 4>;
  70.    reg = <0x40400000 0x10000>;
  71.    xlnx,addrwidth = /bits/ 8 <0x20>;
  72.    xlnx,include-dre ;
  73.    xlnx,num-queues = /bits/ 16 <0x1>;
  74.   };
  75.   axi_ethernet_0: ethernet@41000000 {
  76.    axistream-connected = <&axi_dma_0>;
  77.    axistream-control-connected = <&axi_dma_0>;
  78.    clock-frequency = <100000000>;
  79.    clock-names = "s_axi_lite_clk", "axis_clk", "gtx_clk", "ref_clk";
  80.    clocks = <&clkc 15>, <&clkc 15>, <&clkc 16>, <&clkc 17>;
  81.    compatible = "xlnx,axi-ethernet-7.2", "xlnx,axi-ethernet-1.00.a";
  82.    device_type = "network";
  83.    interrupt-names = "mac_irq", "interrupt";
  84.    interrupt-parent = <&intc>;
  85.    interrupts = <0 31 1 0 32 4>;
  86.    local-mac-address = [00 0a 35 00 00 00];
  87.    phy-mode = "rgmii";
  88.    reg = <0x41000000 0x40000>;
  89.    xlnx = <0x0>;
  90.    xlnx,axiliteclkrate = <0x0>;
  91.    xlnx,axisclkrate = <0x0>;
  92.    xlnx,channel-ids = <0x1>;
  93.    xlnx,clockselection = <0x0>;
  94.    xlnx,enableasyncsgmii = <0x0>;
  95.    xlnx,gt-type = <0x0>;
  96.    xlnx,gtinex = <0x0>;
  97.    xlnx,gtlocation = <0x0>;
  98.    xlnx,gtrefclksrc = <0x0>;
  99.    xlnx,include-dre ;
  100.    xlnx,instantiatebitslice0 = <0x0>;
  101.    xlnx,num-queues = /bits/ 16 <0x1>;
  102.    xlnx,phy-type = <0x8>;
  103.    xlnx,phyaddr = <0x1>;
  104.    xlnx,phyrst-board-interface-dummy-port = <0x0>;
  105.    xlnx,rable = <0x0>;
  106.    xlnx,rxcsum = <0x0>;
  107.    xlnx,rxlane0-placement = <0x0>;
  108.    xlnx,rxlane1-placement = <0x0>;
  109.    xlnx,rxmem = <0x1000>;
  110.    xlnx,rxnibblebitslice0used = <0x0>;
  111.    xlnx,tx-in-upper-nibble = <0x1>;
  112.    xlnx,txcsum = <0x0>;
  113.    xlnx,txlane0-placement = <0x0>;
  114.    xlnx,txlane1-placement = <0x0>;
  115.    xlnx,versal-gt-board-flow = <0x0>;
  116.             phy-handle = <&phy0>;
  117.    axi_ethernet_0_mdio: mdio {
  118.     #address-cells = <1>;
  119.     #size-cells = <0>;
  120.                 phy0: phy@1 {
  121.      device_type = "ethernet-phy";
  122.      reg = <0x1>;
  123.     };
  124.    };
  125.   };
  126.   axi_vdma_0: dma@43000000 {
  127.    #dma-cells = <1>;
  128.    clock-names = "s_axi_lite_aclk", "m_axi_mm2s_aclk", "m_axis_mm2s_aclk";
  129.    clocks = <&clkc 15>, <&clkc 15>, <&clkc 15>;
  130.    compatible = "xlnx,axi-vdma-6.3", "xlnx,axi-vdma-1.00.a";
  131.    interrupt-names = "mm2s_introut";
  132.    interrupt-parent = <&intc>;
  133.    interrupts = <0 33 4>;
  134.    reg = <0x43000000 0x10000>;
  135.    xlnx,addrwidth = <0x40>;
  136.    xlnx,flush-fsync = <0x1>;
  137.    xlnx,num-fstores = <0x1>;
  138.    dma-channel@43000000 {
  139.     compatible = "xlnx,axi-vdma-mm2s-channel";
  140.     interrupts = <0 33 4>;
  141.     xlnx,datawidth = <0x20>;
  142.     xlnx,device-id = <0x0>;
  143.     xlnx,genlock-mode ;
  144.    };
  145.   };
  146.         axi_vdma_lcd {
  147.    compatible = "topic,vdma-fb";
  148.    dmas = <&axi_vdma_0 0>;
  149.    dma-names = "axivdma";
  150.   };
  151. };
  152. };

  153. &gem1 {
  154. status = "okay";
  155. phy-mode = "rgmii-id";
  156. xlnx,ptp-enet-clock = <0x69f6bcb>;
  157. phy-handle = <&ethernet_phy>;

  158. ethernet_phy: ethernet-phy@0 {
  159.   reg = <0>;
  160.   device_type = "ethernet-phy";
  161. };
  162. };

  163. &gpio0 {
  164. emio-gpio-width = <64>;
  165. gpio-mask-high = <0x0>;
  166. gpio-mask-low = <0x5600>;
  167. };

  168. &intc {
  169. num_cpus = <2>;
  170. num_interrupts = <96>;
  171. };

  172. &qspi {
  173. u-boot,dm-pre-reloc;
  174. status = "okay";
  175. is-dual = <1>;
  176. num-cs = <1>;
  177. flash@0 {
  178.   compatible = "n25q128a11";
  179.   reg = <0x0>;
  180.   spi-tx-bus-width = <8>;
  181.   spi-rx-bus-width = <8>;
  182.   spi-max-frequency = <125000000>;
  183. };
  184. };

  185. &sdhci0 {
  186. u-boot,dm-pre-reloc;
  187. status = "okay";
  188. xlnx,has-cd = <0x0>;
  189. xlnx,has-power = <0x0>;
  190. xlnx,has-wp = <0x0>;
  191. };

  192. &sdhci1 {
  193. status = "okay";
  194. xlnx,has-cd = <0x0>;
  195. xlnx,has-power = <0x0>;
  196. xlnx,has-wp = <0x0>;
  197. };

  198. &uart0 {
  199. u-boot,dm-pre-reloc;
  200. device_type = "serial";
  201. port-number = <0>;
  202. status = "okay";
  203. };

  204. &usb1 {
  205. status = "okay";
  206. dr_mode = "host";
  207. usb-phy = <&usb_phy1>;
  208. usb-reset = <&gpio0 46 0>;
  209. };

  210. &clkc {
  211. fclk-enable = <0x7>;
  212. ps-clk-frequency = <33333333>;
  213. };
复制代码
需要将LED的设备节点插入到设备树文件中,可以手动修改,也能直接将提供的设备树直接替换原来的,设备树的路径如下:
  • 内核设备树:/uisrc-lab-xlnx/sources/kernel/arch/arm64/boot/dts/Xilinx/zynqmp-mzux.dts
  • uboot设备树:/uisrc-lab-xlnx/sources/uboot/arch/arm/dts/zynqmp-mzux.dts
1:gpio-led 节点
  1. gpio-led {
  2.   compatible = "xlnx,zynqmp-led-1.0";
  3.   gpios = <&gpio0 0x7 0x0>;
  4. };
复制代码
含义:在设备树中添加gpio-led节点。
具体分析:
  • gpio-led:设备节点名,也就是node-name。
  • compatible:兼容名,驱动将会通过这个在设备树中找到该节点。
  • gpio:定义的两个led的管脚,分别是MIO38,和MIO23。
5.2 驱动程序分析
platform_gpio_drv.c
  1. //1、添加头文件
  2. #include <linux/init.h>
  3. #include <linux/module.h>
  4. #include <linux/fs.h>
  5. #include <linux/of_gpio.h>
  6. #include <linux/platform_device.h>
  7. #include <linux/mod_devicetable.h>

  8. static unsigned int led_major;
  9. static struct class *led_class;

  10. struct led_driver
  11. {
  12. int gpio1;
  13. // int gpio2;
  14. int irq;
  15. struct device dev;
  16. };
  17. struct led_driver *led_dri = NULL;

  18. const struct file_operations led_fops = {

  19. };

  20. //在probe函数中打印获取数据包里面的名字及GPIO
  21. int gpio_pdrv_probe(struct platform_device *pdev)
  22. {
  23. struct device_node *node;
  24. // unsigned int gpio1, gpio2;
  25. unsigned int gpio1;
  26. unsigned int ret = 0;

  27. printk("gpio pdrv probe!\n");
  28. printk("pdrv name = %s!\n", pdev->name);

  29. //申请主设备号
  30. led_major = register_chrdev(0, "led_drv", &led_fops);
  31. if (led_major < 0)
  32. {
  33.   printk("register chrdev led major error!\n");
  34.   return -ENOMEM;
  35. }

  36. //创建类
  37. led_class = class_create(THIS_MODULE, "led_class");

  38. //创建设备
  39. device_create(led_class, NULL, MKDEV(led_major, 0), NULL, "led_device%d", 0);

  40. //硬件初始化

  41. //申请空间
  42. led_dri = devm_kmalloc(&pdev->dev, sizeof(struct led_driver), GFP_KERNEL);
  43. if (led_dri == NULL)
  44. {
  45.   printk("devm kmalloc led_driver error!\n");
  46.   return -1;
  47. }

  48. //获取从设备节点传过来的pdev中的dev及node节点
  49. led_dri->dev = pdev->dev;
  50. node = pdev->dev.of_node;

  51. //从node节点处获得GPIO号
  52. gpio1 = of_get_gpio(node, 0);
  53. printk("of get gpio1 number = %d\n", gpio1);
  54. if (gpio1 < 0)
  55. {
  56.   printk("of get gpio error!\n");
  57.   return -1;
  58. }
  59. // gpio2 = of_get_gpio(node, 1);
  60. // printk("of get gpio2 number = %d\n", gpio2);
  61. // if (gpio2 < 0)
  62. // {
  63. //  printk("of get gpio error!\n");
  64. //  return -1;
  65. // }

  66. //申请GPIO
  67. ret = gpio_request(gpio1, "plattree_led");
  68. if (ret < 0)
  69. {
  70.   printk("plattree led gpio request error!\n");
  71.   return ret;
  72. }
  73. // ret = gpio_request(gpio2, "plattree_led");
  74. // if (ret < 0)
  75. // {
  76. //  printk("plattree led gpio request error!\n");
  77. //  return ret;
  78. // }

  79. //设置GPIO为输出模式,并设备为0,灭灯
  80. gpio_direction_output(gpio1, 0);
  81. // gpio_direction_output(gpio2, 0);

  82. led_dri->gpio1 = gpio1;
  83. // led_dri->gpio2 = gpio2;

  84. return 0;
  85. }

  86. int gpio_pdrv_remove(struct platform_device *pdev)
  87. {
  88. printk("led pdrv remove!\n");

  89. gpio_set_value(led_dri->gpio1, 1);
  90. // gpio_set_value(led_dri->gpio2, 1);

  91. gpio_free(led_dri->gpio1);
  92. // gpio_free(led_dri->gpio2);
  93. device_destroy(led_class, MKDEV(led_major, 0));
  94. class_destroy(led_class);
  95. unregister_chrdev(led_major, "led_drv");

  96. return 0;
  97. }

  98. //of_match_table实现
  99. const struct of_device_id gpio_of_match_table[] = {
  100. {
  101.   .compatible = "xlnx,zynqmp-led-1.0",
  102. },
  103. {}};

  104. //当驱动在设备中找到name之后,进行配对获取resource资源,进入probe函数
  105. struct platform_driver gpio_drv = {
  106. .driver = {
  107.   .name = "zynqmp_led",
  108.   .of_match_table = gpio_of_match_table,
  109. },
  110. .probe = gpio_pdrv_probe,
  111. .remove = gpio_pdrv_remove,
  112. };

  113. //实现装载入口函数和卸载入口函数
  114. static __init int platform_gpio_drv_init(void)
  115. {
  116. //创建pdrv,并且注册到总线中
  117. return platform_driver_register(&gpio_drv);
  118. }
  119. static __exit void platform_gpio_drv_exit(void)
  120. {
  121. //注销设备
  122. platform_driver_unregister(&gpio_drv);
  123. }

  124. //声明装载入口函数和卸载入口函数
  125. module_init(platform_gpio_drv_init);
  126. module_exit(platform_gpio_drv_exit);


  127. //添加GPL协议
  128. MODULE_LICENSE("GPL");
  129. MODULE_AUTHOR("msxbo");
复制代码
行12~22,创建一个结构体用来存放设备信息。
行30~165,初始化函数,完成的任务有,申请设备号,创建类,创建设备,申请空间,获取node节点,获取GPIO号,申请GOIO,设置GPIO输出模式并置0。
行167~189,驱动注销,先将LED灯全部点亮,然后释放LED占用的GPIO资源,最后依次注销初始化中的内容。
1:platform_driver_register函数
  1. int platform_driver_register(struct platform_driver *driver)
复制代码
含义:向平台总线注册平台驱动。
具体分析:
  • pdrv:注册的平台驱动。
  • 返回值:0,设置成功;负值,设置失败。
2:platform_driver结构体
  1. //2.当驱动在设备中找到name之后,进行配对获取resource资源,进入probe函数
  2. struct platform_driver gpio_drv = {
  3.    .driver = {
  4.       .name = "zynqmp_led",
  5.       .of_match_table = gpio_of_match_table,
  6. },
  7.    .probe = gpio_pdrv_probe,
  8.    .remove = gpio_pdrv_remove,
  9. };
复制代码
含义:构建平台注册的结构体。
具体分析:
  • .of_match_table:用来在设备树中找到对应node的函数。
  • .probe:gpio初始化函数。
  • .remoe:当移除gpio时会执行的函数。
3:of_get_gpio函数
~/uisrc-lab-xlnx/sources/kernel/include/linux/of_gpio.h
  1. /**
  2. * of_get_gpio() - Get a GPIO number to use with GPIO API
  3. * @np:  device node to get GPIO from
  4. * @index: index of the GPIO
  5. *
  6. * Returns GPIO number to use with Linux generic GPIO API, or one of the errno
  7. * value on the error condition.
  8. */
  9. static inline int of_get_gpio(struct device_node *np, int index)
  10. {
  11.     return of_get_gpio_flags(np, index, NULL);
  12. }
复制代码
含义:从节点出通过index获取GPIO号。
具体分析:
  • np:从设备树处获得的节点。
4:gpio_request函数
  1. int gpio_request(unsigned gpio, const char *label)
复制代码
含义:gpio_request函数用来向系统申请一个GPIO管脚,每一个管脚在使用前都需要使用这个函数初始化,若不使用这个函数直接使用GPIO,则GPIO不会反应。
具体分析:
  • 参数:gpio,需要申请的gpio标号;lable,gpio的名字,可自由设定。
  • 返回值:0,申请成功;其他值,申请失败。
5:gpio_direction_output函数
  1. static inline int gpio_direction_output(unsigned gpio, int value)
复制代码
含义:设置gpio为输出模式,并设置0或1,来控制输出的开关。
具体分析:
  • gpio:为需要申请的gpio标号。
  • value:0为灭灯,1为开灯。
6:gpio_set_value函数
  1. static inline void gpio_set_value(unsigned gpio, int value)
复制代码
含义:设置gpio的引脚值。
6 程序编译
        这个部分先介绍makefile文档,然后再在虚拟机终端进行交叉编译,生成驱动文件。
6.1 makefile文件
  1. #已经编译过的内核源码路径
  2. KERNEL_DIR = /home/uisrc/uisrc-lab-xlnx/sources/kernel

  3. export ARCH=arm
  4. export CROSS_COMPILE=arm-linux-gnueabihf-

  5. #当前路径
  6. CURRENT_DIR = $(shell pwd)

  7. MODULE =  platform_gpio_drv

  8. all :
  9. #进入并调用内核源码目录中Makefile的规则, 将当前的目录中的源码编译成模块
  10. make -C $(KERNEL_DIR) M=$(CURRENT_DIR) modules
  11. rm -rf *.mod.c *.mod.o *.symvers *.order *.o

  12. ifneq ($(APP), )
  13. $(CROSS_COMPILE)gcc $(APP).c -o $(APP)
  14. endif

  15. clean :
  16. make -C $(KERNEL_DIR) M=$(CURRENT_DIR) clean

  17. #指定编译哪个文件
  18. obj-m += $(MODULE).o
复制代码
第10行输入自定义的文件名。其他部分不用修改。详细解释参考hello world的程序编译部分。
6.2 交叉编译
打开4.1节已经上传完成的文件。右击桌面打开终端,确定终端目录在当前文件夹内。输入“make”,编译成功后,图片如下。
image.jpg
7 演示
7.1 硬件准备
SD2.0 启动 01 而模式开关为 ON OFF(7100 需要先将系统烧录进qspi,然后才能从qspi启动sd卡,“[米联客-XILINX-H3_CZ08_7100] LINUX基础篇连载-04 从vitis移植Ubuntu实现二次开发”)
2f5038eb9880afd532753935815b079.jpg
将 PS 端串口线连接电脑,如果要使用 ssh 登录,将网口线同样连接至电脑,最后给开发板通电。每次重新上电,需要重新插拔 PS 串口,否则会登录失败。
image.jpg
7.2 程序准备
将6.2于虚拟机中交叉编译完成的驱动文件上传至开发板。
image.jpg
确认开发板上的灯是否都亮起。
在终端管理员权限下输入“insmod platform_gpio_drv.ko”。
image.jpg
7.3 实验结果
打开终端,输入dmesg。内核打印出驱动中所要求的数据。
image.jpg
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则