[X]关闭

[米联客-XILINX-H3_CZ08_7100] FPGA基础篇连载-20 I2C MASTER控制器驱动设

文档创建者:FPGA课程
浏览次数:201
最后更新:2024-08-29
文档课程分类-AMD-ZYNQ
AMD-ZYNQ: ZYNQ-FPGA部分 » 2_FPGA实验篇(仅旗舰) » 1-FPGA基础入门实验
本帖最后由 FPGA课程 于 2024-9-2 10:43 编辑

​软件版本:VIVADO2021.1
操作系统:WIN10 64bit
硬件平台:适用 XILINX A7/K7/Z7/ZU/KU 系列 FPGA
实验平台:米联客-MLK-H3-CZ08-7100开发板
板卡获取平台:https://milianke.tmall.com/
登录“米联客”FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!
1系统框图
I2C Master控制器主要包含I2C收发数据状态机,SCL时钟分频器、发送移位模块、接收移位模块、空闲控制忙指示模块。SCL和SDA的输出逻辑和时序通过SCL和I2C状态机控制。
f08545c5e47c462c823748ac815fa5be.jpg
重点介绍关键信号:
IO_sda为I2C双向数据总线
O_scl为I2C时钟
I_wr_cnt写数据字节长度,包含了器件地址,发送I_iic_req前,预设该值
I_rd_cnt读数据字节长度,仅包含读回有效部分,发送I_iic_req前,预设该值
I_wr_data写入的数据
O_rd_data读出的数据,如果是读请求,当O_iic_busy从高变低代表数据读回有效
I_iic_req I2C操作请求,根据I_rd_cnt是否大于0决定是否有读请求
I_iic_mode是否支持随机读写,发送I_iic_req前,预设该值
O_iic_busy总线忙
804287ab36564b6795f98ecf4063b0a7.jpg
2状态机设计
所有的SDA和SCL控制依据状态机设计。

IDLE:在IDLE状态,当iic_req请求有效代表一次全新的传输,进入I2C START启动传输阶段,如果rd_req有效代表目前要进行repeated start,也是进入START状态。
START:在START状态对bcnt进行初始化,设置需要发送的bit数量,因为不管是写操作,还是随机读操作,I2C总线协议要求,都要发送器件地址。因此在START后发送7Bit的器件地址和1bit的读/写位。
W_WAIT:在此阶段发送一个完整的8bit数据,发送移位模块也会在此阶段对数据移位。
W_ACK:对于写操作,SLAVE设备响应ACK,如果还有数据需要些,则回到W_WAIT;如果还要进行读操作,则回到IDLE产生一次Repeated Start;如果已经完成所有数据发送,也没有数据需要读,则进入STOP1
R_WAIT:在此阶段完成8bits数据接收,接收移位模块工作,之后进入R_ACK
R_ACK:响应NACK,如果还有数据需要接收,则再次进入R_WAIT,否则进入STOP1,完成本次传输。
STOP1:产生停止位,SDA=0 SDA=1,进入STOP1
SOTP2:产生停止位,SDA=1 SDA=1,回到IDLE
3程序源码
  1. /*******************************I2C控制器 MASTER*********************
  2. --以下是米联客设计的I2C总线MASTER控制器
  3. --1.代码简洁,占用极少逻辑资源,代码结构清晰,逻辑设计严谨
  4. --2.使用方便,只需要把发送的数据放入寄存器,就可以自动支持任意长度的写数据
  5. --3.使用方便,只需要把读的数据告知控制器,就可以自动支持任意长度的读数据
  6. --4.1.1版本,升级了O_iic_bus_error标志信号,当总线写操作没有ACK返回,设置O_iic_bus_error,可以通过观察O_iic_bus_error查看总线是否有错误
  7. *********************************************************************/

  8. `timescale 1ns / 1ns //仿真刻度/精度

  9. module uii2c#
  10. (
  11. parameter integer WMEN_LEN = 8'd0,//写长度,以字节为单位,包含器件地址
  12. parameter integer RMEN_LEN = 8'd0,//读长度,以字节为单位,不包含器件地址
  13. parameter integer CLK_DIV  = 16'd499// I2C时钟分频系数
  14. )
  15. (
  16. input  wire I_clk,//系统时钟输入
  17. input  wire I_rstn,//系统复位,低电平有效
  18. output reg  O_iic_scl = 1'b0,//I2C时钟SCL
  19. inout  wire IO_iic_sda,//I2C 数据总线
  20. input  wire [WMEN_LEN*8-1'b1:0]I_wr_data,//写数据寄存器,其中WMEN_LEN设置了最大支持的数据字节数,越大占用的FPGA资源越多
  21. input  wire [7:0]I_wr_cnt,//写数据计数器,代表写了多少个字节
  22. output reg  [RMEN_LEN*8-1'b1:0]O_rd_data = 0,//读数据寄存器,其中RMEN_LEN设置了最大支持的数据字节数,越大占用的FPGA资源越多
  23. input  wire [7:0]I_rd_cnt,//读数据计数器
  24. input  wire I_iic_req,//I_iic_req == 1 使能I2C传输
  25. input  wire I_iic_mode,//I_iic_mode = 1 随机读   I_iic_mode = 0 读当前寄存器或者页读
  26. output reg  O_iic_busy = 1'b0,//I2C控制器忙
  27. output reg  O_iic_bus_error, //I2C总线,无法读到正确ACK出错
  28. output reg  IO_iic_sda_dg
  29. );

  30. localparam IDLE    = 4'd0;//I2C 总线空闲状态
  31. localparam START   = 4'd1;//I2C 总线启动
  32. localparam W_WAIT  = 4'd2;//I2C 总线等待写完成
  33. localparam W_ACK   = 4'd3;//I2C 总线等待写WACK
  34. localparam R_WAIT  = 4'd4;//I2C 总线等待读完成
  35. localparam R_ACK   = 4'd5;//I2C 总线等待读RACK
  36. localparam STOP1   = 4'd6;//I2C 总线产生停止位
  37. localparam STOP2   = 4'd7;//I2C 总线产生停止位   

  38. localparam SCL_DIV = CLK_DIV/2;

  39. localparam OFFSET = SCL_DIV - SCL_DIV/4;//设置I2C总线的SCL时钟的偏移,以满足SCL和SDA的时序要求,外部的SCL延迟内部的半周期的四分之三

  40. reg [2:0] IIC_S = 4'd0; //I2C 状态机
  41. //generate  scl
  42. reg [15:0] clkdiv = 16'd0;   //I2C 时钟分频寄存器
  43. reg scl_r      = 1'b1;       //I2C控制器的SCL内部时钟
  44. reg sda_o      = 1'b0;       //I2C控制器的SDA
  45. reg scl_clk    = 1'b0;       //I2C控制器内部SCL时钟,与外部时钟存在OFFSET参数设置的相位偏移
  46. reg [7:0] sda_r = 8'd0;      //发送寄存器
  47. reg [7:0] sda_i_r = 8'd0;    //接收寄存器
  48. reg [7:0] wcnt = 8'd0;       //发送数据计数器,以byte为单位
  49. reg [7:0] rcnt = 8'd0;       //接收数据计数器,以byte为单位
  50. reg [2:0] bcnt = 3'd0;       //bit计数器
  51. reg  rd_req = 1'b0;          //读请求,当判断到需要读数据,内部状态机中设置1
  52. wire sda_i;                  //sda 输入
  53. wire scl_offset;             //scl 时钟偏移控制

  54. //assign  sda_i   = (IO_iic_sda == 1'b0) ?  1'b0 : 1'b1;  //读总线
  55. //assign  IO_iic_sda = (sda_o == 1'b0) ?  1'b0 : 1'bz;    //写总线,1'bz代表高阻,I2C外部通过上拉电阻,实现总线的高电平

  56. PULLUP PULLUP_inst (.O(iic_sda));

  57. //XILINX I2C 用IOBUF实现控制
  58. IOBUF #(
  59. .DRIVE(12), // Specify the output drive strength
  60. .IBUF_LOW_PWR("TRUE"),  // Low Power - "TRUE", High Performance = "FALSE"
  61. .IOSTANDARD("DEFAULT"), // Specify the I/O standard
  62. .SLEW("SLOW") // Specify the output slew rate
  63. ) IOBUF_inst (
  64. .O(sda_i),    // Buffer output
  65. .IO(IO_iic_sda), // Buffer inout port (connect directly to top-level port)
  66. .I(sda_o),    // Buffer input
  67. .T(sda_o)     // 3-state enable input, high=input, low=output
  68. );

  69. //scl 时钟分频器
  70. always@(posedge I_clk)
  71.     if(clkdiv < SCL_DIV)   
  72.         clkdiv <= clkdiv + 1'b1;
  73.     else begin
  74.         clkdiv <= 16'd0;
  75.         scl_clk <= !scl_clk;
  76.     end

  77. assign  scl_offset  = (clkdiv == OFFSET);//设置scl_offset的时间参数
  78. always @(posedge I_clk) O_iic_scl <=  scl_offset ?  scl_r : O_iic_scl; //O_iic_scl延迟scl_offset时间的scl_r

  79. //采集I2C 数据总线sda
  80. always @(posedge I_clk) IO_iic_sda_dg <= sda_i;  

  81. //当IIC_S状态机处于,同时空闲状态,设置SCL为高电平,同时也是空闲,停止状态,用于产生起始位和停止位时序,否则寄存scl_clk时钟
  82. always @(*)
  83.     if(IIC_S == IDLE || IIC_S == STOP1 || IIC_S == STOP2)
  84.         scl_r <= 1'b1;
  85.     else
  86.         scl_r <= scl_clk;
  87.   
  88. //当进入IIC_S状态为启动、停止设置sda=0,结合scl产生起始位,或者(IIC_S == R_ACK && (rcnt != I_rd_cnt) sda=0,用于产生读操作的ACK
  89. always @(*)
  90.     if(IIC_S == START || IIC_S == STOP1 || (IIC_S == R_ACK && (rcnt != I_rd_cnt)))
  91.         sda_o <= 1'b0;
  92.     else if(IIC_S == W_WAIT)
  93.         sda_o <= sda_r[7];
  94.     else  sda_o <= 1'b1; //否则其他状态都为1,当(IIC_S == R_ACK && (rcnt == I_rd_cnt) 产生一个NACK

  95. //I2C数据发送模块,所有的写数据都通过此模块发送
  96. always @(posedge scl_clk)
  97.     if(IIC_S == W_ACK || IIC_S == START)begin//IIC_S=START和W_ACK,把需要发送的数据,寄存到sda_r
  98.         sda_r <= I_wr_data[(wcnt*8) +: 8];//寄存需要发发送的数据到sda_r
  99.         if( rd_req ) sda_r <= {I_wr_data[7:1],1'b1};//对于读操作,rd_req由内部代码产生,当写完第一个数据(器件地址),后通过判断I_rd_cnt,确认是否数据需要读
  100.     end
  101.     else if(IIC_S == W_WAIT)//当W_WAT状态,通过移位操作,把数据发送到数据总线
  102.         sda_r <= {sda_r[6:0],1'b1};//移位操作
  103.     else
  104.         sda_r <= sda_r;

  105. //sda data bus read and hold data to O_rd_data register when IIC_S=R_ACK
  106. //I2C数据接收模块,I2C读期间,把数据通过移位操作,移入O_rd_data
  107. always @(negedge scl_clk)begin
  108.     if(IIC_S == R_WAIT ) //当IIC_S == R_WAIT ||IIC_S == W_ACK(如果读操作,第1个BIT是W_ACK这个状态读)启动移位操作
  109.         sda_i_r <= {sda_i_r[6:0],sda_i};
  110.     else if(IIC_S == R_ACK)//当IIC_S == R_ACK,完成一个BYTE读,把数据保存到O_rd_data
  111.         O_rd_data[((rcnt-1'b1)*8) +: 8] <= sda_i_r[7:0];
  112.     else if(IIC_S == IDLE)//空闲状态,重置sda_i_r
  113.         sda_i_r <= 8'd0;
  114. end

  115. //总线忙状态
  116. always @(posedge scl_clk or negedge I_rstn )begin
  117.    if(I_rstn == 1'b0)
  118.       O_iic_busy <= 1'b0;
  119.    else begin
  120.       if((I_iic_req == 1'b1 || rd_req == 1'b1 || O_iic_bus_error))//I_iic_req == 1'b1 || rd_req == 1'b1总线进入忙状态
  121.           O_iic_busy <= 1'b1;
  122.         else if(IIC_S == IDLE)
  123.          O_iic_busy <= 1'b0;
  124.     end     
  125. end

  126. //总线忙状态
  127. always @(negedge scl_clk or negedge I_rstn )begin
  128.    if(I_rstn == 1'b0)
  129.       O_iic_bus_error <= 1'b0;   
  130.    else begin
  131.       if(IIC_S  == W_ACK && sda_i == 1'b1)//I_iic_req == 1'b1 || rd_req == 1'b1总线进入忙状态
  132.           O_iic_bus_error <= 1'b1;
  133.         else if(I_iic_req == 0)
  134.          O_iic_bus_error <= 1'b0;
  135.     end     
  136. end

  137. //I2C Master控制器状态机
  138. always @(posedge scl_clk or negedge I_rstn )begin
  139.       if(I_rstn == 1'b0)begin //异步复位,复位相关寄存器
  140.            wcnt   <= 8'd0;
  141.            rcnt   <= 8'd0;
  142.            rd_req    <= 1'b0;   
  143.            IIC_S    <= IDLE;
  144.         end
  145.         else begin
  146.         case(IIC_S) //sda = 1 scl =1
  147.         IDLE:begin//在空闲状态,sda=1 scl=1
  148.            if(I_iic_req == 1'b1 || rd_req == 1'b1) //当I_iic_req == 1'b1代表启动传输 当 rd_req == 1'b1 代表读操作需要产生repeated start 重复启动  
  149.               IIC_S  <= START; //进入START状态
  150.            else begin
  151.               wcnt <= 8'd0; //复位计数器
  152.               rcnt <= 8'd0; //复位计数器
  153.            end
  154.         end
  155.         START:begin //这个状态,前面的代码,先设置sda = 0,scl_offset参数设置了scl_clk时钟的偏移,之后 scl_clk =0 即scl =0 产生起始位或者重复起始位
  156.            bcnt <= 3'd7; //设置bcnt的初值         
  157.            IIC_S  <= W_WAIT;//进入发送等待
  158.         end           
  159.         W_WAIT://等待发送完成,这里发送8bits 数据,写器件地址,写寄存器地址,写数据,都在这个状态完成
  160.         begin
  161.            if(bcnt > 3'd0)//如果8bits没发送完,直到发送完
  162.                bcnt  <= bcnt - 1'b1; //bcnt计数器,每发送1bit减1
  163.            else begin //8bits发送完毕
  164.                wcnt <= wcnt + 1'b1; //wcnt计数器,用于记录已经写了多少字节
  165.                IIC_S  <= W_ACK;//进入W_ACK状态
  166.            end
  167.         end
  168.         W_ACK://等待WACK,此阶段,也判断是否有读操作
  169.         begin
  170.            if(wcnt < I_wr_cnt)begin //判断是否所有数据发送(写)完成
  171.               bcnt <= 3'd7; //如果没有写完,重置bcnt
  172.               IIC_S <= W_WAIT;//继续回到W_WAIT等待数据发送(写)完成
  173.            end
  174.            else if(I_rd_cnt > 3'd0)begin//I_rd_cnt > 0代表有数据需要读,I_rd_cnt决定了有多少数据需要读
  175.               if(rd_req == 1'b0 && I_iic_mode == 1'b1)begin //对于第一次写完器件地址,如果I_iic_mode==1代表支持随机读
  176.                   rd_req <= 1'b1;//设置rd_req=1,请求读操作
  177.                   IIC_S <= IDLE; //设置状态进入IDLE,根据rd_req的值会重新产生一次为读操作进行的repeated重复start
  178.               end
  179.               else //如果之前已经完成了repeated重复start,那么读操作进入读数据阶段
  180.                   IIC_S <= R_WAIT;//进入读等待
  181.                   bcnt <= 3'd7;//设置bcnt的初值  
  182.            end
  183.            else //如果所有的发送完成,也没数据需要读,进入停止状态
  184.               IIC_S <= STOP1;
  185.         end  
  186.         R_WAIT://等待读操作完成
  187.         begin
  188.            rd_req <= 1'b0;//重置读请求rd_req=0
  189.            bcnt  <= bcnt - 1'b1; //bit 计数器
  190.            if(bcnt == 3'd0)begin //当8bits数据读完
  191.               rcnt <= (rcnt < I_rd_cnt) ? (rcnt + 1'b1) : rcnt;//判断是否还有数据需要读
  192.               IIC_S  <= R_ACK;//进入R_ACK
  193.            end
  194.         end
  195.         R_ACK://R_ACK状态产生NACK
  196.         begin
  197.            bcnt <= 3'd7;//重置读请求bcnt计数器
  198.            IIC_S <= (rcnt < I_rd_cnt) ? R_WAIT : STOP1; //如果所有数据读完,进入停止状态
  199.         end  
  200.         STOP1:begin//产生停止位 sda = 0 scl = 1
  201.             rd_req   <= 1'b0;              
  202.             IIC_S <= STOP2;
  203.         end
  204.         STOP2://产生停止位  sda = 1 scl = 1
  205.             IIC_S <= IDLE;         
  206.         default:
  207.             IIC_S <= IDLE;
  208.       endcase
  209.     end
  210. end

  211. endmodule
复制代码

4程序分析
以简单写1个字节来说明关键的顺序设计。
所有的控制逻辑以IIC_S状态机的状态,以及内部时钟scl_clk为主要时序来控制。写操作内部同步时序全部以scl_clk的上升沿进行,为了满足数据Tsu和Thd,设计scl延迟于scl_r半周期的四分之三 OFFSET = CLK_DIV - CLK_DIV/4。这样对于SLAVE接收来说具有足够的Tsu和Thd
698363368a9a410e8a770658c4eb89b8.jpg
对于读操作,每个scl_sck的下降沿采集总线,由于scl完成了相位调整,也是非常容易满足Tsu和Thd。

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则