[X]关闭

[米联客-安路飞龙DR1-FPSOC] FPGA基础篇连载-01 软件工具环境搭建

文档创建者:FPGA课程
浏览次数:609
最后更新:2024-07-28
文档课程分类-安路-DR1
安路-DR1: FPSOC-DR1-FPGA部分 » 2_FPGA实验篇(仅旗舰) » 1-FPGA基础入门实验
软件版本:Anlogic -TD5.9.1-DR1_ES1.1
操作系统:WIN10 64bit
硬件平台:适用安路(Anlogic)
FPGA实验平台:米联客-MLK-L1-CZ06-DR1M90G
开发板板卡获取平台:https://milianke.tmall.com/

1代码编辑vscode工具软件安装
使用第三方编辑工具可以让开发代码变的更加高效,vscode是非常好用的第三方编辑器,下面我们演示如何安装vscode
登录米联客官方社区https://www.uisrc.com/
2504661-20240718195306581-1601698140.jpg
进入下载页面,下载vscode
2504661-20240718195307135-996742934.jpg
双击Visual Studio Code 64位安装程序
2504661-20240718195307538-623134323.jpg
2504661-20240718195307972-1207397407.jpg
2504661-20240718195308644-1914088238.jpg
2504661-20240718195309209-2024274391.jpg
2504661-20240718195309659-1143788245.jpg
2504661-20240718195310513-1943125649.jpg
2504661-20240718195311253-208980484.jpg
为了可以识别verilog/vhdl语法,需要安装插件
2504661-20240718195311706-1537605192.jpg
输入关键词verilog,选择如下箭头所指的插件,然后单击安装
2504661-20240718195312209-1027018453.jpg

2安路TD开发工具软件安装教程2.1 概述
上海安路信息科技股份有限公司成立于2011年,总部位于浦东新区张江科学城。安路科技专注于FPGA芯片设计领域,通过多年的技术积累,公司在FPGA芯片设计技术、SoC系统集成技术、FPGA专用EDA软件技术、FPGA芯片测试技术和FPGA应用解决方案等领域均有技术突破。
安路FPGA目前已经形成了以SALPHOENIX®高性能产品系列、SALEAGLE®高性价比产品系列和SALELF®低功耗产品系列组成的产品矩阵。产品广泛应用于工业控制、网络通信、消费电子、数据中心等领域。
TangDynasty®(TD)软件是安路科技自主开发的FPGA集成开发环境,支持工业界标准的设计输入,包含完整的电路优化流程以及丰富的分析与调试工具,并提供良好的第三方设计验证工具接口,为所有基于安路科技FPGA产品的应用设计提供有力支持。
2504661-20240718195312713-105461768.jpg
2.2 TD软件下载
1.登录安路官方:http://www.anlogic.com/ 进入下载页面下载,我们这里下载 TD5.9.1_DR1_ES1.1
2504661-20240718195313290-1807628061.jpg
2.登录米联客官网:https://www.uisrc.com/t-5868.html/ 进入下载页面下载
2504661-20240718195313687-139306002.jpg
2.3 TD软件安装
双击运行
2504661-20240718195314103-768100435.jpg
2504661-20240718195314659-1576013823.jpg
2504661-20240718195315172-214667785.jpg
2504661-20240718195315625-1414520419.jpg
2504661-20240718195316149-1084358971.jpg
2504661-20240718195316751-886518340.jpg
2.4安装license
用户可以在http://www.anlogic.com/ 进入下载页面下载。
2504661-20240718195317183-1486495857.jpg
2504661-20240718195317557-907245422.jpg
复制Anlogic.lic到路径
2504661-20240718195317932-2060748592.jpg
2.5 FD软件下载
登录安路官方:http://www.anlogic.com/进入下载页面下载,我们这里下载 FD_2024.7_RC1
2504661-20240718195318442-362252727.jpg
2.登录米联客官网:https://www.uisrc.com/t-5868.html/进入下载页面下载
2504661-20240718195318921-1002710580.jpg
2.6 FD软件安装
双击运行
2504661-20240718195319342-219768855.jpg
点击更多信息
2504661-20240718195319777-558350655.jpg
点击仍要运行
2504661-20240718195320400-681119652.jpg
2504661-20240718195320895-1112367740.jpg

2504661-20240718195321464-1779337068.jpg
2504661-20240718195321928-345206900.jpg
2504661-20240718195322441-1622736050.jpg
2504661-20240718195322952-2039859259.jpg
2.7 设置第三方编辑工具
我们这里使用vscode作为第三方编辑器,使用第三方编辑工具可以让开发代码变的更加高效。在进行设置前,请确保vscode已经正确安装。
双击启动TD软件
2504661-20240718195323388-916277983.jpg
2504661-20240718195323781-1342849930.jpg
设置vscode为第三方编辑器
2504661-20240718195324178-1613821693.jpg
检查是否设置成功
2504661-20240718195324577-1151406382.jpg

3安路下载器JTAG驱动安装3.1 驱动的安装
DR1系列使用的下载器与PH1A系列有所不同,需要重新安装驱动。FT AL-Link使用OneCable模式,需安装驱动winusb。下载器连接到PC,打开驱动安装软件UsbDriverTool(需管理员权限)。找到USB Serial Converter A,其VID/PID为0403/6042。
2504661-20240718195325021-1106883739.jpg
右单击该设备,选择"Install WinUSB",安装WinUSB驱动。
2504661-20240718195325569-1428508798.jpg
安装完成后,UsbDriverTool中会显示此设备的驱动名称。
2504661-20240718195326087-756599235.jpg
3.2 TD设置使用OneCable
下载器连接开发板,并使开发板通电。在TD中,点击Tools
2504661-20240718195326542-1868041599.jpg

在弹出的窗口中选择HW Server,并填写Server Setting和Server Args,并点击Apply
2504661-20240718195327006-1828101441.jpg
设置完成后,后续的bit流下载,波形抓取等操作,与使用旧版AL Link操作方法一致。
3.3 TD设置使用旧版AL Link
通过上述配置界面,依旧可以使用旧版的AL Link进行调试。
2504661-20240718195327428-2076033678.jpg
选择AL_LINK_OLD,点击Apply,即可使用旧版AL Link。
3.4 关闭TD Hardware Server
在使用FD OpenOCD调试之前,需要先关闭TD中的One Cable Hardware Server,否则One Cable处于占用状态,FD将无法进入调试模式。
点击stop server,关闭TD One Cable Server
2504661-20240718195327832-1816213864.jpg
点击close,打开FD,进行C程序编辑、编译、调试。
3.5打开TD Hardware Server
当关闭 OpenOCD 后,需要通过TD 重新下载Bitstream、CWC 抓取波形等操作时,需要重新打开TDHardware Server。
点击start server按钮,然后点击Apply,关闭配置界面。
2504661-20240718195328297-1069878275.jpg
4测试下载器
正常安装驱动后,就可以把下载器接到开发板,并且给开发板通电打开TD软件,在线调试、在线下载、固化FLASH等。
请确保下载器和开发板已经正确连接,并且开发板已经上电(注意JTAG端子不支持热插拔,而USB接口支持,所以在不通电的情况下接通好JTAG后,再插入USB到电脑,之后再上电,以免造成JTAG IO损坏)
4.1 芯片识别
单击下载按钮(需要找一个FPGA工程里面的程序下载,如果用户暂时没有程序,可以看下能识别到芯片就可以了)
2504661-20240718195328749-867796895.jpg
可以看到已经识别到芯片
2504661-20240718195329203-690308252.jpg
4.2下载bit
选择bit文件
2504661-20240718195329872-2107017966.jpg
选中后单击Run,下载成功
2504661-20240718195330413-383128505.jpg 可以看到流水灯开始运行。


您需要登录后才可以回帖 登录 | 立即注册

本版积分规则