[X]关闭

modelsim 仿真的时候时钟信号一直处于高阻态,怎么回事?

文档创建者:bqrwiw
浏览次数:9201
最后更新:2015-07-23
这是我的时钟仿真信号
qq截图20150722163618_副本.jpg
这是我的程序里面的激励信号
qq截图20150722163646_副本.jpg

求大神。。。。




发表评论已发布 2

米联-汤金元

发表于 2015-7-22 21:41:41 | 显示全部楼层

很有可能是因为信号没有给初值

米联-汤金元

发表于 2015-7-23 06:56:02 | 显示全部楼层

米联-汤金元 发表于 2015-7-22 21:41
很有可能是因为信号没有给初值

今天看到你贴的程序了,你看你的激励信号,复位信号没有时钟,主程序是没法跑的,自然也就没法复位了
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则