[X]关闭

vivado 生成bit文件时提示[Drc 23-20]错误原因及永久性解决办法

文档创建者:夕阳血
浏览次数:5613
最后更新:2019-09-02
[DRC UCIO-1] Unconstrained Logical Port: 1 out of 63 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: xxxx.

虽然上面提到了解决办法,但是每次新建工程都需要这样比较麻烦,有没有永久的解决方案?

发表评论已发布 2

uisrc

发表于 2019-9-2 13:01:07 | 显示全部楼层

当然有,确保所有IO都正确分配就不会有这个问题了,上面提示你还有一个IO没有分配呢
越努力越幸运!加油!

夕阳血

发表于 2019-9-2 13:31:21 | 显示全部楼层

admin 发表于 2019-9-2 13:01
当然有,确保所有IO都正确分配就不会有这个问题了,上面提示你还有一个IO没有分配呢

好的,谢谢,找到原因了。
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则