[X]关闭

[米联客-XILINX-H3_CZ08_7100] FPGA_SDK高级篇连载-16DAQ7606波形显示方案(FDMA)

文档创建者:FPGA课程
浏览次数:63
最后更新:2024-10-09
文档课程分类-AMD-ZYNQ
AMD-ZYNQ: ZYNQ-SOC » 1_SDK应用方案(仅旗舰型号) » 2-SDK高级应用方案
本帖最后由 FPGA课程 于 2024-10-9 17:15 编辑

​软件版本:VIVADO2021.1
操作系统:WIN10 64bit
硬件平台:适用 XILINX A7/K7/Z7/ZU/KU 系列 FPGA
实验平台:米联客-MLK-H3-CZ08-7100开发板
板卡获取平台:https://milianke.tmall.com/
登录“米联客”FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!



1概述
DAQ7606是一款8通道16bit 200k采样率的高精度ADC,支持串行和并行采集接口。米联客DAQ7606采用串行模式实现200K 8通道同时采样,相比并口方式,串行方式,具有硬件接口简单,节约成本优势。
实验目的:
1:掌握uifdmadbuf配置成非视频模式的情况下的参数设置
2:掌握ADC数据如何通过uifdmadbuf写入到uifdma ip
3:ps实现fdma中断到来,读取DDR缓存中的数据
4:编写波形显示函数,把采集到的波形绘制成波形曲线在显示器上显示
2系统框图
58692d126bec40e79e6d61af00ebc3b1.jpg
3硬件电路分析
硬件接口和子卡模块请阅读“附录1”
配套工程的FPGA PIN脚定义路径为soc_prj/uisrc/04_pin/ fpga_pin.xdc。
4搭建SOC系统工程
4.1PL图形化编程
6b1b87e6c5474cb2b6514ce867197f2a.jpg
以上代码中用户数据位宽为128bit(DAQ7606是8通道16bit 所以是128bit位宽), 用户写入的数据经过uifdma_dbuf后进入fdma,之后通过AXI_interconnect 进入到ZYNQ DDR中。
SDK代码中,PS(ARM)读取内存中保存的ADC采样数值,并且通过插值方式绘制波形,波形先绘制到内中,VDMA从这个开辟的波形显存中主动读取数据,显示到显示器上。这样就完成了波形采集显示方案。
下面具体看下关键几个IP的参数设置
1:uifdma_dbuf设置
由于输入数据是数据流形式,所以不需要使用视频传输功能,这里也只使用到了写通道,所以读通道也不需要使能。
由于采用DAQ7606的速度相对比较忙,设置3帧缓存就够用。WBaseaddr缓存的基地址只要设置合适的值即可,这里设置0x08000000 = 128MB,这样保留了低128MB给应用程序使用。
WDsizebits设置每个缓存的大小,2^20次方=1MBYTE。
所以下面的参数XSize*YSize*W_Datawidth/8=1MB.其中已知AXI_DATA_WIDTH=128,所以只要正确设置XSize和YSize。通常来说设置越大的XSize传输效率也高,但是需要消耗的资源也会更多。我们这里设置XSize=2048,Ysize设置32代表。2048*32*128/8=1MB
971fd7c53b154c209731a69264ab0518.jpg
2:uiFDMA设置
Fdma的数据位宽可以设置128这样效率最高。
7d5826c3824844be8c9dd2e2ff5af076.jpg
3:AXI Interconnect设置
设置FIFO可以增加数据的吞吐能力
fd366b36ab0f4421b440da86ff6787e3.jpg
4:修改system_wrapper.v
将自动产生的system_wrapper.v复制到本方案工程路径soc_prj/uisrc/01_rtl/system_wrapper.v并对其修改,修改好的代码如下:
  1. /*******************************MILIANKE*******************************
  2. *Company : MiLianKe Electronic Technology Co., Ltd.
  3. *WebSite:https://www.milianke.com
  4. *TechWeb:https://www.uisrc.com
  5. *tmall-shop:https://milianke.tmall.com
  6. *jd-shop:https://milianke.jd.com
  7. *taobao-shop1: https://milianke.taobao.com
  8. *Create Date: 2021/10/15
  9. *Module Name:system_wrapper
  10. *File Name:system_wrapper.v
  11. *Description:
  12. *The reference demo provided by Milianke is only used for learning.
  13. *We cannot ensure that the demo itself is free of bugs, so users
  14. *should be responsible for the technical problems and consequences
  15. *caused by the use of their own products.
  16. *Copyright: Copyright (c) MiLianKe
  17. *All rights reserved.
  18. *Revision: 1.0
  19. *Signal description
  20. *1) _i input
  21. *2) _o output
  22. *3) _n activ low
  23. *4) _dg debug signal
  24. *5) _r delay or register
  25. *6) _s state mechine
  26. *********************************************************************/

  27. `timescale 1 ps / 1 ps

  28. module system_wrapper
  29. (
  30. inout wire [14:0]DDR_addr,
  31. inout wire [2:0]DDR_ba,
  32. inout wire DDR_cas_n,
  33. inout wire DDR_ck_n,
  34. inout wire DDR_ck_p,
  35. inout wire DDR_cke,
  36. inout wire DDR_cs_n,
  37. inout wire [3:0]DDR_dm,
  38. inout wire [31:0]DDR_dq,
  39. inout wire [3:0]DDR_dqs_n,
  40. inout wire [3:0]DDR_dqs_p,
  41. inout wire DDR_odt,
  42. inout wire DDR_ras_n,
  43. inout wire DDR_reset_n,
  44. inout wire DDR_we_n,
  45. inout wire FIXED_IO_ddr_vrn,
  46. inout wire FIXED_IO_ddr_vrp,
  47. inout wire [53:0]FIXED_IO_mio,
  48. inout wire FIXED_IO_ps_clk,
  49. inout wire FIXED_IO_ps_porb,
  50. inout wire FIXED_IO_ps_srstb,
  51. //******************************
  52. output wire HDMI_TX_CLK_N,
  53. output wire HDMI_TX_CLK_P,
  54. output wire [2:0]HDMI_TX_N,
  55. output wire [2:0]HDMI_TX_P,
  56. //******************************
  57. input  wire ad7606_busy_i,
  58. output wire ad7606_cs_o,        //ad7606 AD cs
  59. output wire ad7606_sclk_o,      //ad7606 AD data read
  60. output wire ad7606_rst_o,       //ad7606 AD reset
  61. output wire ad7606_convsta_o,   //ad7606 AD convert start
  62. output wire ad7606_convstb_o,   //ad7606 AD convert start        
  63. output wire ad7606_range_o,
  64. input  wire ad7606_out_a_i,
  65. input  wire ad7606_out_b_i,
  66. output wire ad7606card_en
  67. );

  68. assign ad7606card_en = 1'b1;

  69. wire pl_clk;
  70. wire user_rstn;
  71. wire user_start;
  72. wire [127:0]ud_wdata_0;

  73. wire ud_wde_0;
  74. wire ud_wclk_0;

  75. reg [15:0]test_data;
  76. always@(posedge pl_clk)begin
  77.         if(user_rstn == 1'b0)begin
  78.                 test_data <= 12'd0;
  79.         end
  80.         else if(ud_wde_0) begin
  81.                test_data <= test_data + 1'b1;
  82.         end
  83. end

  84. wire [63:0] ad7606_out_a,ad7606_out_b;
  85. wire [15:0] ad_ch0,ad_ch1,ad_ch2,ad_ch3,ad_ch4,ad_ch5,ad_ch6,ad_ch7;

  86. assign ad_ch0 = ad7606_out_a[63:48];
  87. assign ad_ch1 = ad7606_out_a[47:32];
  88. assign ad_ch2 = ad7606_out_a[31:16];
  89. assign ad_ch3 = ad7606_out_a[15: 0];
  90. assign ad_ch4 = ad7606_out_b[63:48];
  91. assign ad_ch5 = ad7606_out_b[47:32];
  92. assign ad_ch6 = ad7606_out_b[31:16];
  93. assign ad_ch7 = ad7606_out_b[15: 0];

  94. assign ud_wdata_0 = {test_data,ad_ch6,ad_ch5,ad_ch4,ad_ch3,ad_ch2,ad_ch1,ad_ch0};
  95. assign ud_wde_0   = user_start & ad7606_cap_en;
  96. assign ud_wclk_0  = pl_clk;

  97. uispi7606#(
  98. .SPI_DIV(10'd5),
  99. .T5US_DIV(10'd499)
  100. )
  101. uispi7606_inst
  102. (
  103. .ad_clk_i(pl_clk),            
  104. .ad_rst_i(user_rstn==1'b0),
  105. .ad_busy_i(ad7606_busy_i),                  
  106. .ad_cs_o(ad7606_cs_o),
  107. .ad_sclk_o(ad7606_sclk_o),      
  108. .ad_rst_o(ad7606_rst_o),         
  109. .ad_convsta_o(ad7606_convsta_o),      
  110. .ad_convstb_o(ad7606_convstb_o),  
  111. .ad_range_o(ad7606_range_o),
  112. .ad_out_a_i(ad7606_out_a_i),
  113. .ad_out_b_i(ad7606_out_b_i),
  114. .ad_out_a(ad7606_out_a),
  115. .ad_out_b(ad7606_out_b),
  116. .ad_cap_en(ad7606_cap_en)
  117. );

  118. ila_0 ila0_dg
  119. (
  120. .clk(pl_clk),
  121. .probe0({test_data[15:0],ad_ch0,ud_wde_0,user_start,user_rstn})
  122. );

  123. system system_i
  124. (
  125. .DDR_addr(DDR_addr),
  126. .DDR_ba(DDR_ba),
  127. .DDR_cas_n(DDR_cas_n),
  128. .DDR_ck_n(DDR_ck_n),
  129. .DDR_ck_p(DDR_ck_p),
  130. .DDR_cke(DDR_cke),
  131. .DDR_cs_n(DDR_cs_n),
  132. .DDR_dm(DDR_dm),
  133. .DDR_dq(DDR_dq),
  134. .DDR_dqs_n(DDR_dqs_n),
  135. .DDR_dqs_p(DDR_dqs_p),
  136. .DDR_odt(DDR_odt),
  137. .DDR_ras_n(DDR_ras_n),
  138. .DDR_reset_n(DDR_reset_n),
  139. .DDR_we_n(DDR_we_n),
  140. .FIXED_IO_ddr_vrn(FIXED_IO_ddr_vrn),
  141. .FIXED_IO_ddr_vrp(FIXED_IO_ddr_vrp),
  142. .FIXED_IO_mio(FIXED_IO_mio),
  143. .FIXED_IO_ps_clk(FIXED_IO_ps_clk),
  144. .FIXED_IO_ps_porb(FIXED_IO_ps_porb),
  145. .FIXED_IO_ps_srstb(FIXED_IO_ps_srstb),
  146. .HDMI_TX_CLK_N(HDMI_TX_CLK_N),
  147. .HDMI_TX_CLK_P(HDMI_TX_CLK_P),
  148. .HDMI_TX_N(HDMI_TX_N),
  149. .HDMI_TX_P(HDMI_TX_P),
  150. .pl_clk(pl_clk),
  151. .ud_wclk_0(ud_wclk_0),
  152. .ud_wdata_0(ud_wdata_0),
  153. .ud_wde_0(ud_wde_0),
  154. .user_rstn(user_rstn),
  155. .user_start(user_start)
  156. );


  157. endmodule


复制代码

以上代码中,调用了米联客uispi7606 IP CORE采集模拟数据,并且把采集好的数据写入到uifdmadbuf中,为了方便实验中观察数据,把第8个通道的AD数据改成了计数器。实际项目中可以把这个替换成第八个通道的ADC数据。
4.2设置地址分配
需要注意uifdma_dbuf的axi-lite接口地址,这个地址我们会在SDK 代码中用到读寄存器。
8c20d11c17784f4e829753b4ea73f31e.jpg
4.3添加PIN约束
1:选中PROJECT  MANAGERà Add SourcesàAdd or create constraints,添加XDC约束文件。
124e8f4c0f2847da9d39d072f062fb7a.jpg
2:打开提供例程,复制约束文件中的管脚约束到XDC文件,或者查看原理图,自行添加管脚约束,并保存。
以下是添加配套工程路径下已经提供的pin脚文件。配套工程的pin脚约束文件在uisrc/04_pin路径
4.4编译并导出平台文件
1:单击Block文件à右键àGenerate the Output ProductsàGlobalàGenerate。
2:单击Block文件à右键à Create a HDL wrapper(生成HDL顶层文件)àLet vivado manager wrapper and auto-update(自动更新)。
3:生成Bit文件。
4:导出到硬件: FileàExport HardwareàInclude bitstream
5:导出完成后,对应工程路径的soc_hw路径下有硬件平台文件:system_wrapper.xsa的文件。根据硬件平台文件system_wrapper.xsa来创建需要Platform平台。
b50eac18fea74307ae680562d369418d.jpg
5搭建Vitis-sdk工程
创建soc_base sdk platform和APP工程的过程不再重复,如果不清楚请参考本章节第一个demo。
5.1创建SDK Platform工程
136bcc08e40b495491a519f981480b6c.jpg
5.2创建DAQ001_fdma_wave工程
e96c672295004d55b86b45785e37d0ae.jpg
6程序分析
6.1FDMA数据获取原理
727992c6285946aab6f83deb1285321e.jpg
每当uifdmadbuf发送的中断后,该函数被调用,通过读取uifdmadbuf axi-lite的寄存器,获取当前哪一个缓存产生了中断(代表数据发送到PS DDR了)。
为了增加数据的吞吐能力,在中断中,不宜进行数据搬运,我们设计了要给结构体,可以用于标记已经写入DDR的数据。
1:PS_RX_intr_Handler
  1. void PS_RX_intr_Handler(void *param)
  2. {
  3.         fdma_buf.record[fdma_buf.circle_cnt]= Xil_In32((UINTPTR)FDMA_DBUF_BASE_ADDR); //读取uifdmabuf控制寄存器中标记的内存号
  4.         if(fdma_buf.circle_cnt<2) //3帧缓存环形FIFO
  5.         {
  6.                 fdma_buf.circle_cnt ++ ;
  7.                 fdma_buf.next = fdma_buf.circle_cnt -1; //读DDR缓存地址延迟当前1帧
  8.         }
  9.         else
  10.         {
  11.                 fdma_buf.circle_cnt = 0;
  12.                 fdma_buf.next = 2; //读DDR缓存地址延迟当前1帧
  13.         }
  14.         fdma_buf.pkg_done=1; //中断产生,缓存中有数据可以获取
  15. }
复制代码

2:fdma_buf_st结构体
  1. typedef struct fdma_buf_st
  2. {
  3.         u8  record[16];//用于记录被标记的内存号
  4.         u8  circle_cnt;//环形计数器
  5.         u8  next;//指向下一个需要被读取的内存号
  6.         u8  pkg_done; //代表数据已经被接收
  7.         u16 fram_cnt; //帧计数器,记录当前的帧号,用于统计
  8.         u16 pkg_cnt; //包计数器,为了均衡CPU负载,可以把一包大数据分成多个小数据操作
  9. }fdma_buf_st;
复制代码

3:FdmaAdcWave函数
以下程序中,核心部分除了波形绘制部分,重点是数据的获取方式。当中断到来pkg_done=1,这里把1MB数据分成64份,这样每份代表8个通道的1024个数据采样点,把这个1024个数据点在内存中绘制波形。
  1. int FdmaAdcWave(u32 WaveWidth, u8 *ScreenFrame)
  2. {
  3.         int i,j ;
  4.         RxBufAddr[0] =RX_BUFFER0_BASE; /*设置第一帧起始地址 */
  5.         RxBufAddr[1] =RX_BUFFER1_BASE; /*设置第二帧起始地址*/
  6.         RxBufAddr[2] =RX_BUFFER2_BASE; /*设置第三帧起始地址*/
  7.         InitPen();
  8.         DrawGrids(WaveWidth, WAVE_HEIGHT,GridBuf) ;/* 调用绘制栅格函数,绘制栅格 */
  9.         while(1) {
  10.                         if(fdma_buf.pkg_done == 1) //adc=16bit*8  total_data_size=16bits*8*2048*32 divide in to 64 times as each time 16bits*8*1024
  11.                 {
  12.                         fdma_buf.pkg_done =0;
  13.                         while(fdma_buf.pkg_cnt<64) //分成64份,每次取8*2*1024大小的数据。
  14.                         {
  15.                                 if(fdma_buf.pkg_cnt==0)
  16.                                 {
  17.                                         BufStartPtr = (u16*)(RxBufAddr[fdma_buf.record[fdma_buf.next]]);//get new buffer address
  18.                                         Xil_DCacheInvalidateRange((u32)BufStartPtr, PKG_SIZE);
  19.                                 }
  20.                                 else
  21.                                 {
  22.                                         BufStartPtr = BufStartPtr + PKG_SIZE/2; //指针16位指针BufStartPtr,注意地址的计算方式
  23.                                         Xil_DCacheInvalidateRange((u32)BufStartPtr, PKG_SIZE);
  24.                                 }
  25.                                 for(i = 0; i < 8 ; i++)//重新排列ADC的数据
  26.                                 {
  27.                                         for(j = 0 ; j < WaveWidth ; j++)
  28.                                         {
  29.                                                 DBufTmp[i][j] = BufStartPtr[8*j + i] ;
  30.                                         }
  31.                                 }
  32.                                 memcpy(WaveBuf, GridBuf, WAVE_HSIZE*WAVE_HEIGHT*PIXEL_BYTES) ;/* 复制之前的栅格到内存*/
  33.                                 for(i = 0; i < 8 ; i++)/* Wave Overlay */
  34.                                 {
  35.                                         DrawLine(WaveWidth, WAVE_HEIGHT, (void *)DBufTmp[i], WaveBuf, i); /* 在栅格背景上绘制波形*/
  36.                                 }
  37.                                 /* 内存中的波形复制VDMA显存 */
  38.                                 ImgCopy(WaveWidth, WAVE_HEIGHT, WAVE_STRIDE, WAVE_OFFSET_X, WAVE_OFFSET_Y, ScreenFrame,  WaveBuf) ;
  39.                                 fdma_buf.pkg_cnt++;
  40.                         }
  41.                         fdma_buf.pkg_cnt = 0;
  42.                         fdma_buf.fram_cnt++;
  43.                         //usleep(100) ;/* sleep 100ms */
  44.                 }        }
  45. }
复制代码

6.2波形绘制原理
1:栅格绘制函数
  1. void DrawGrids(u32 ImgWidth, u32 ImgHeight, u8 *ImgBufPtr)
  2. {
  3.         PEN pen;
  4.         u32 pixel_x, pixel_y;
  5.         for(pixel_y = 0; pixel_y < ImgHeight; pixel_y++)
  6.         {
  7.                 for(pixel_x = 0; pixel_x < ImgWidth; pixel_x++)
  8.                 {
  9.                         if (((pixel_y == 0 || (pixel_y+1)%64 == 0) && (pixel_x == 0 || (pixel_x+1)%8 == 0)) || ((pixel_x == 0 || (pixel_x+1)%64 == 0) && (pixel_y+1)%8 == 0))
  10.                         {
  11.                                 /* gray point */
  12.                                 pen.Red = 150;
  13.                                 pen.Green = 150;
  14.                                 pen.Blue = 150;
  15.                         }
  16.                         else
  17.                         {
  18.                                 /* Black point*/
  19.                                 pen.Red = 0;
  20.                                 pen.Green = 0;
  21.                                 pen.Blue = 0;
  22.                         }
  23.                         DrawPoint(ImgBufPtr, pixel_x, pixel_y, ImgWidth,pen);
  24.                 }
  25.         }
  26. }
复制代码
((pixel_y == 0 || (pixel_y+1)%64 == 0) && (pixel_x == 0 || (pixel_x+1)%8 == 0)),Y方向每间隔64个像素点,绘制水平线,水平虚线间距8个像素点。
(pixel_x+1)%8 == 0)) || ((pixel_x == 0 || (pixel_x+1)%64 == 0) && (pixel_y+1)%8 == 0),X方向每间隔64个像素点,绘制垂直虚线,垂直虚线间距8个像素点。
2:描点函数

  1. void DrawPoint(u8 *ImgBufPtr, u32 pixel_x, u32 pixel_y, u32 ImgWidth, PEN pen)
  2. {
  3.         ImgBufPtr[(pixel_x + pixel_y*ImgWidth)*PIXEL_BYTES + 0] = pen.Red;
  4.         ImgBufPtr[(pixel_x + pixel_y*ImgWidth)*PIXEL_BYTES + 1] = pen.Green;
  5.         ImgBufPtr[(pixel_x + pixel_y*ImgWidth)*PIXEL_BYTES + 2] = pen.Blue;
  6.         ImgBufPtr[(pixel_x + pixel_y*ImgWidth)*PIXEL_BYTES + 3] = 0xff;
  7. }
复制代码

3:绘制波形函数
  1. void DrawLine(u32 ImgWidth, u32 ImgHeight,  void *BufPtr, u8 *ImgBufPtr,u8 color)
  2. {
  3.         u8 last_y ,curr_y ;
  4.         u32 i,j ;
  5.         short *PointBufPtr ;//adc one point need 16bit
  6.         //For 16bit signed AD, the range is -32,768~+32,767
  7.         float tmp = 1.00/256 ;//tmp value use to Divide ad by 256
  8.         PointBufPtr = (short *)BufPtr ;
  9.         /*
  10.          * For signed AD, in order to display the waveform normally,
  11.          * just re-adjust the center position to make all the data become positive.
  12.          * For example, if it is an 8-bits signed number, then mid_offset=64 for a 16-bits signed number mid_offset=128
  13.          * */
  14.         u8 ymid_offset = 128;//ad7606 is 16-bits signed value
  15.         for(i = 0; i < ImgWidth ; i++)
  16.         {
  17.                 if (i == 0)
  18.                 {
  19.                         last_y = (u8)((u16)(PointBufPtr[i])*tmp + ymid_offset) ;
  20.                         curr_y = (u8)((u16)(PointBufPtr[i])*tmp + ymid_offset) ;
  21.                 }
  22.                 else
  23.                 {
  24.                         last_y = (u8)((u16)(PointBufPtr[i-1])*tmp + ymid_offset) ;
  25.                         curr_y = (u8)((u16)(PointBufPtr[i]  )*tmp + ymid_offset) ;
  26.                 }
  27.                 if (curr_y >= last_y)
  28.                 {
  29.                         for (j = 0 ; j < (curr_y - last_y + 1) ; j++)
  30.                                 DrawPoint(ImgBufPtr, i, (ImgHeight - 1 - curr_y) + j, ImgWidth, pen[color]) ;
  31.                 }
  32.                 else
  33.                 {
  34.                         for (j = 0 ; j < (last_y - curr_y + 1) ; j++)
  35.                                 DrawPoint(ImgBufPtr, i, (ImgHeight - 1 - last_y) + j, ImgWidth, pen[color]) ;
  36.                 }
  37.         }
  38. }
复制代码

4:复制波形到显存
  1. void ImgCopy(u32 ImgWidth, u32 ImgHeight, u32 ImgStride, int OffSetX, int OffSetY, u8 *ImgFrame, u8 *ImgBufPtr)
  2. {
  3.         int i ;
  4.         u32 ImgSrcOffset;
  5.         u32 FramDestOffset ;
  6.         u32 CopyLineLen = ImgWidth*PIXEL_BYTES ;
  7.         for(i = 0 ; i < ImgHeight;  i++)
  8.         {
  9.                 ImgSrcOffset = i*ImgWidth*PIXEL_BYTES ;
  10.                 FramDestOffset = (OffSetY+i)*ImgStride + OffSetX*PIXEL_BYTES ;
  11.                 memcpy(ImgFrame+FramDestOffset, ImgBufPtr+ImgSrcOffset, CopyLineLen) ;
  12.         }
  13.         Xil_DCacheFlushRange((INTPTR) ImgFrame+OffSetY*ImgStride, ImgHeight*ImgStride) ;
  14. }
复制代码
65b9819d8b0b408398e0e71990b18bfc.jpg
7方案演示
7.1硬件准备
64897cb9b8c34373829f8e866607ccb5.jpg
7.2实验结果

f0c386c95c0b498ab4d53c9eea9d6f45.jpg

您需要登录后才可以回帖 登录 | 立即注册

本版积分规则