set_property PACKAGE_PIN V4 [get_ports I_sysclk] set_property IOSTANDARD SSTL135 [get_ports I_sysclk]
set_property PACKAGE_PIN C18 [get_ports hdmi_tx_tmds_clk_p] set_property PACKAGE_PIN B15 [get_ports {hdmi_tx_tmds_data_p[0]}] set_property PACKAGE_PIN B21 [get_ports {hdmi_tx_tmds_data_p[1]}] set_property PACKAGE_PIN C22 [get_ports {hdmi_tx_tmds_data_p[2]}]
set_property IOSTANDARD TMDS_33 [get_ports hdmi_tx_tmds_clk_p] set_property IOSTANDARD TMDS_33 [get_ports {hdmi_tx_tmds_data_p[2]}] set_property IOSTANDARD TMDS_33 [get_ports {hdmi_tx_tmds_data_p[1]}] set_property IOSTANDARD TMDS_33 [get_ports {hdmi_tx_tmds_data_p[0]}]
#---------------------------sensor--------------------------- set_property PACKAGE_PIN D17 [get_ports I_cmos_pclk] set_property PACKAGE_PIN D20 [get_ports I_cmos_vsync] set_property PACKAGE_PIN C20 [get_ports I_cmos_href] set_property PACKAGE_PIN C17 [get_ports O_cmos_xclk] set_property PACKAGE_PIN A19 [get_ports {I_cmos_data[0]}] set_property PACKAGE_PIN A18 [get_ports {I_cmos_data[1]}] set_property PACKAGE_PIN D19 [get_ports {I_cmos_data[2]}] set_property PACKAGE_PIN E19 [get_ports {I_cmos_data[3]}] set_property PACKAGE_PIN A20 [get_ports {I_cmos_data[4]}] set_property PACKAGE_PIN B20 [get_ports {I_cmos_data[5]}] set_property PACKAGE_PIN F20 [get_ports {I_cmos_data[6]}] set_property PACKAGE_PIN F19 [get_ports {I_cmos_data[7]}] set_property PACKAGE_PIN G21 [get_ports O_cam_scl] set_property PACKAGE_PIN G22 [get_ports IO_cam_sda]
set_property IOSTANDARD LVCMOS33 [get_ports I_cmos_pclk] set_property IOSTANDARD LVCMOS33 [get_ports I_cmos_vsync] set_property IOSTANDARD LVCMOS33 [get_ports I_cmos_href] set_property IOSTANDARD LVCMOS33 [get_ports O_cmos_xclk] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {I_cmos_data[0]}] set_property IOSTANDARD LVCMOS33 [get_ports O_cam_scl] set_property IOSTANDARD LVCMOS33 [get_ports IO_cam_sda] set_property PULLUP true [get_ports O_cam_scl] set_property PULLUP true [get_ports IO_cam_sda]
#bit compress set_property CFGBVS VCCO [current_design] set_property CONFIG_VOLTAGE 3.3 [current_design] set_property BITSTREAM.GENERAL.COMPRESS true [current_design] set_property BITSTREAM.CONFIG.CONFIGRATE 50 [current_design] set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 4 [current_design] set_property BITSTREAM.CONFIG.SPI_FALL_EDGE Yes [current_design]
|