[X]关闭

怎么调用dsp48a1

文档创建者:tt1314
浏览次数:6474
最后更新:2020-06-03
下面一个是调用,一个是仿真,,,,我调用的dsp是(a+b)*c,只要用clk,a,b,c,p,,,,,但是仿真出来的,啥也没有,求指教



//////////////////////////////////////////////////////////////////////////////////
module Dsp48a1(
clk,a,b,c,dout
    );
input clk;
input [17:0] a;
input [17:0] b;
input [47:0] c;
output [47:0] dout;


DSP48a1 mydsp48(
        .clk(clk),
        .a(a),
        .b(b),
        .c(c),
        .p(dout)
        );

endmodule
///////////////////////////////////
module DSP48_test;


        reg clk;
        reg [17:0] a;
        reg [17:0] b;
        reg [47:0] c;


        wire [47:0] dout;

        Dsp48a1 uut (
                .clk(clk),
                .a(a),
                .b(b),
                .c(c),
                .dout(dout)
        );

        initial begin

                clk = 0;
                a = 0;
                b = 0;
                c = 0;

                #100;   

                a = 100;
                b = 1000;
                c = 3e8;
       
        end
       
                        always #50 clk=~clk;
      
endmodule
,,,,,,,,,,,,,,

发表评论已发布 3

tt1314

发表于 2015-9-16 13:54:35 | 显示全部楼层

图片如下

QQ图片20150921134658.png
QQ图片20150921134702.png
QQ图片20150921134707.png
QQ图片20150921134710.png
QQ图片20150921134658.png
QQ图片20150921134702.png
QQ图片20150921134707.png
QQ图片20150921134710.png
回复

使用道具 举报

uisrc

发表于 2015-9-17 11:47:44 | 显示全部楼层

设置如图 (PPNNH9HJJR[KH(SNZHICAF.jpg

越努力越幸运!加油!
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则