[X]关闭

sram读取问题

文档创建者:luleiwangqi
浏览次数:5056
最后更新:2015-08-21
最近遇到一个sram问题,我按增地址的顺序写入16个数据到SRAM中(地址从1~16),过了一段时间,我从SRAM中读取数据。读取地址的顺序是8 7 6 5,1 2 3 4,9 10 11 12,16 15 14 13。但是这样的取值会出现FPGA死机。如果我按顺序读取sram的地址则是正常的读出数据。能否帮我解释一下为什么吗?
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则