[X]关闭

使用tri_mode_ethernet_mac IP核,license报错

文档创建者:张老师
浏览次数:6228
最后更新:2020-05-25
[Common 17-69] Command failed: This design contains one or more cells for which bitstream generation is not permitted:
trimac_fifo_block/trimac_sup_block/tri_mode_ethernet_mac_i/inst/tri_mode_ethernet_mac_0_core (tri_mode_ethernet_mac_0_tri_mode_ethernet_mac_v9_0_10)
If a new IP Core license was added, in order for the new license to be picked up, the current netlist needs to be updated by resetting and re-generating the IP output products before bitstream generation.



更换license也未解决
您需要登录后才可以回帖 登录 | 立即注册

本版积分规则