UISRC工程师学习站

标题: 在MIZ7035 使用HDMI7611 视频输入输出卡 红色跟蓝色相反 [打印本页]

作者: 賴鴻龍    时间: 2020-6-4 12:27
标题: 在MIZ7035 使用HDMI7611 视频输入输出卡 红色跟蓝色相反
本帖最后由 賴鴻龍 于 2020-6-4 12:35 编辑

[attach]6522[/attach]
输入源[attach]6521[/attach]
输出
[attach]6520[/attach]

fpga_pin.xdc
set_property IOSTANDARD LVDS [get_ports diff_clock_clk_n]
set_property IOSTANDARD LVDS [get_ports diff_clock_clk_p]

set_property PACKAGE_PIN C8 [get_ports diff_clock_clk_p]

set_property IOSTANDARD LVCMOS33 [get_ports pen_o]
set_property PACKAGE_PIN AB12 [get_ports pen_o]
#############################################################################
#HDMI FEP tx
set_property PACKAGE_PIN AB17 [get_ports {HDMI_TX_P[2]}]
set_property PACKAGE_PIN AC17 [get_ports {HDMI_TX_P[1]}]
set_property PACKAGE_PIN AD16 [get_ports {HDMI_TX_P[0]}]
set_property PACKAGE_PIN AC13 [get_ports HDMI_CLK_P]

set_property IOSTANDARD TMDS_33 [get_ports HDMI_CLK_P]
set_property IOSTANDARD TMDS_33 [get_ports {HDMI_TX_P
  • }]
    ###################################################################################################
    #set_property PACKAGE_PIN AK12 [get_ports adv_scl]
    set_property PACKAGE_PIN AE10 [get_ports adv_sda]
    set_property PACKAGE_PIN AF10 [get_ports adv_scl]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_sda]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_scl]
    set_property PULLUP true [get_ports adv_sda]
    set_property PULLUP true [get_ports adv_scl]
    ###################################################################################################
    create_clock -period 6.734 -name pclk_i [get_ports pclk_i]

    set_property PACKAGE_PIN AF13 [get_ports de_i]
    set_property PACKAGE_PIN AD11 [get_ports hs_i]
    set_property PACKAGE_PIN Y10 [get_ports vs_i]
    set_property PACKAGE_PIN AC14 [get_ports pclk_i]
    set_property PACKAGE_PIN AD10 [get_ports adv_rst]

    set_property PACKAGE_PIN W16 [get_ports {rgb_i[23]}]
    set_property PACKAGE_PIN W15 [get_ports {rgb_i[22]}]
    set_property PACKAGE_PIN Y17 [get_ports {rgb_i[21]}]
    set_property PACKAGE_PIN AA17 [get_ports {rgb_i[20]}]
    set_property PACKAGE_PIN Y16 [get_ports {rgb_i[19]}]
    set_property PACKAGE_PIN Y15 [get_ports {rgb_i[18]}]
    set_property PACKAGE_PIN AA15 [get_ports {rgb_i[17]}]
    set_property PACKAGE_PIN AA14 [get_ports {rgb_i[16]}]
    set_property PACKAGE_PIN AB15 [get_ports {rgb_i[15]}]
    set_property PACKAGE_PIN AB14 [get_ports {rgb_i[14]}]
    set_property PACKAGE_PIN AC11 [get_ports {rgb_i[13]}]
    set_property PACKAGE_PIN AE16 [get_ports {rgb_i[12]}]
    set_property PACKAGE_PIN AE15 [get_ports {rgb_i[11]}]
    set_property PACKAGE_PIN AD14 [get_ports {rgb_i[10]}]
    set_property PACKAGE_PIN Y12 [get_ports {rgb_i[9]}]
    set_property PACKAGE_PIN AE17 [get_ports {rgb_i[8]}]
    set_property PACKAGE_PIN AF17 [get_ports {rgb_i[7]}]
    set_property PACKAGE_PIN AA12 [get_ports {rgb_i[6]}]
    set_property PACKAGE_PIN AE13 [get_ports {rgb_i[5]}]
    set_property PACKAGE_PIN AF15 [get_ports {rgb_i[4]}]
    set_property PACKAGE_PIN AF14 [get_ports {rgb_i[3]}]
    set_property PACKAGE_PIN AB11 [get_ports {rgb_i[2]}]
    set_property PACKAGE_PIN AB10 [get_ports {rgb_i[1]}]
    set_property PACKAGE_PIN AC12 [get_ports {rgb_i[0]}]

    set_property IOSTANDARD LVCMOS33 [get_ports pclk_i]
    set_property IOSTANDARD LVCMOS33 [get_ports adv_rst]
    set_property IOSTANDARD LVCMOS33 [get_ports vs_i]
    set_property IOSTANDARD LVCMOS33 [get_ports de_i]
    set_property IOSTANDARD LVCMOS33 [get_ports hs_i]
    set_property IOSTANDARD LVCMOS33 [get_ports {rgb_i
  • }]
    #########################################################################

    要如何解决这个问题?


    作者: uisrc    时间: 2020-6-8 10:19
    FPGA代码里面把 R G B  的 R 和G 调换下位置
    作者: 苏9935    时间: 2020-6-18 16:23





    欢迎光临 UISRC工程师学习站 (https://www.uisrc.com/) Powered by Discuz! X3.5