[X]关闭

[米联派-安路飞龙DR1-FPSOC] FPGA基础篇连载-18 I2C MASTER控制器驱动设计

文档创建者:FPGA课程
浏览次数:111
最后更新:2024-09-20
文档课程分类-安路-DR1
安路-DR1: FPSOC-DR1-FPGA部分 » 2_FPGA实验篇(仅旗舰) » 1-FPGA基础入门实验
软件版本:Anlogic -TD5.9.1-DR1_ES1.1
操作系统:WIN10 64bit
硬件平台:适用安路(Anlogic)FPGA
实验平台:米联客-MLKPAI-SF01-DR1M90M开发板
板卡获取平台:https://milianke.tmall.com/

1系统框图
I2C Master控制器主要包含I2C收发数据状态机,SCL时钟分频器、发送移位模块、接收移位模块、空闲控制忙指示模块。SCL和SDA的输出逻辑和时序通过SCL和I2C状态机控制。
c7407592e2ef4106a9bb2fda34df9eba.jpg
重点介绍下其中的关键信号:
IO_sda为I2C双向数据总线
O_scl为I2C时钟
I_wr_cnt写数据字节长度,包含了器件地址,发送I_iic_req前,预设该值
I_rd_cnt读数据字节长度,仅包含读回有效部分,发送I_iic_req前,预设该值
I_wr_data写入的数据
O_rd_data读出的数据,如果是读请求,当O_iic_busy从高变低代表数据读回有效
I_iic_req I2C操作请求,根据I_rd_cnt是否大于0决定是否有读请求
I_iic_mode是否支持随机读写,发送I_iic_req前,预设该值
O_iic_busy总线忙
1.1 程序设计1.1.1空闲控制忙指示模块
为了方便使用该驱动程序,和前面学习的驱动一样,IIC master也使用I_iic_req以及O_iic_busy用于信号的握手。用户程序通过设置I_iic_req为高,请求读/写数据;设置O_iic_busy为1,表示IIC总线正忙,这时用户程序需要等待非忙的时候,请求读/写下一个数据。
5acf4a22a3ba4541945dd129f942ecad.jpg
  1. reg scl_clk    = 1'b0;       //I2C控制器内部SCL时钟,与外部时钟存在OFFSET参数设置的相位偏移
  2. reg  rd_req = 1'b0;          //读请求,当判断到需要读数据,内部状态机中设置1

  3. //总线忙状态
  4. always @(posedge scl_clk or negedge I_rstn )begin
  5.     if(I_rstn == 1'b0)
  6.         O_iic_busy <= 1'b0;
  7.     else begin
  8.         if((I_iic_req == 1'b1 || rd_req == 1'b1 || O_iic_bus_error))//I_iic_req == 1'b1 || rd_req == 1'b1总线进入忙状态
  9.             O_iic_busy <= 1'b1;
  10.         else if(IIC_S == IDLE)
  11.             O_iic_busy <= 1'b0;
  12.     end         
  13. end
复制代码
1.1.2 SCL时钟分频器
下面我们以简单写1个字节来说明关键的时序顺序设计。
所有的控制逻辑以IIC_S状态机的状态,以及内部时钟scl_clk为主要时序来控制。写操作内部同步时序全部以scl_clk的上升沿进行,为了满足数据Tsu和Thd,设O_iic_scl延迟于scl_r半周期的四分之三 OFFSET = CLK_DIV - CLK_DIV/4。这样对于SLAVE接收来说具有足够的Tsu和Thd。对于读操作,每个scl_sck的下降沿采集总线,由于scl完成了相位调整,也是非常容易满足Tsu和Thd。
653e17c7803140baa99103e3ff1bec78.jpg
  1. localparam SCL_DIV = CLK_DIV/2;
  2. localparam OFFSET = SCL_DIV - SCL_DIV/4;//设置I2C总线的SCL时钟的偏移,以满足SCL和SDA的时序要求,外部的SCL延迟内部的半周期的四分之三

  3. reg [15:0] clkdiv = 16'd0;   //I2C 时钟分频寄存器
  4. reg scl_r      = 1'b1;       //I2C控制器的SCL内部时钟
  5. reg scl_clk    = 1'b0;       //I2C控制器内部SCL时钟,与外部时钟存在OFFSET参数设置的相位偏移

  6. wire scl_offset;             //scl 时钟偏移控制

  7. //scl 时钟分频器
  8. always@(posedge I_clk)
  9.     if(clkdiv < SCL_DIV)   
  10.         clkdiv <= clkdiv + 1'b1;
  11.     else begin
  12.         clkdiv <= 16'd0;
  13.         scl_clk <= !scl_clk;
  14.     end

  15. assign  scl_offset  = (clkdiv == OFFSET);//设置scl_offset的时间参数

  16. always @(posedge I_clk) O_iic_scl <=  scl_offset ?  scl_r : O_iic_scl; //O_iic_scl延迟scl_offset时间的scl_r
复制代码
1.1.3开始条件/停止条件
SDA:总线空闲状态sda_o保持高电平,sda_o拉低,代表启动传输。
e936b0d6038d4b29ac0131d877a52830.jpg
  1. //当进入IIC_S状态为启动、停止设置sda=0,结合scl产生起始位,或者(IIC_S == R_ACK && (rcnt != I_rd_cnt) sda=0,用于产生读操作的ACK
  2. always @(*)
  3.     if(IIC_S == START || IIC_S == STOP1 || (IIC_S == R_ACK && (rcnt != I_rd_cnt)))
  4.         sda_o <= 1'b0;
  5.     else if(IIC_S == W_WAIT)
  6.         sda_o <= sda_r[7];
  7.     else  sda_o <= 1'b1; //否则其他状态都为1,当(IIC_S == R_ACK && (rcnt == I_rd_cnt) 产生一个NACK
复制代码
SCL:设置scl_r开始和结束的条件为高电平,数据传输过程scl_r为内部时钟scl_clk
6d4992b8927e49199da8982f10b82145.jpg
  1. //当IIC_S状态机处于,同时空闲状态,设置SCL为高电平,同时也是空闲,停止状态,用于产生起始位和停止位时序,否则寄存scl_clk时钟
  2. always @(*)
  3.     if(IIC_S == IDLE || IIC_S == STOP1 || IIC_S == STOP2)
  4.         scl_r <= 1'b1;
  5.     else
  6.         scl_r <= scl_clk;
复制代码
1.1.4发送移位模块
当总线进行写操作时,将I_wr_data的数据通过发送移位模块传送到IIC总线sda_r上。
  1. localparam START   = 4'd1;//I2C 总线启动
  2. localparam W_WAIT  = 4'd2;//I2C 总线等待写完成
  3. localparam W_ACK   = 4'd3;//I2C 总线等待写WACK


  4. reg [2:0] IIC_S = 4'd0; //I2C 状态机
  5. reg [7:0] wcnt = 8'd0;       //发送数据计数器,以byte为单位

  6. //I2C数据发送模块,所有的写数据都通过此模块发送
  7. always @(posedge scl_clk)
  8.     if(IIC_S == W_ACK || IIC_S == START)begin//IIC_S=START和W_ACK,把需要发送的数据,寄存到sda_r
  9.         sda_r <= I_wr_data[(wcnt*8) +: 8];//寄存需要发发送的数据到sda_r
  10.         if( rd_req ) sda_r <= {I_wr_data[7:1],1'b1};//对于读操作,rd_req由内部代码产生,当写完第一个数据(器件地址),后通过判断I_rd_cnt,确认是否数据需要读
  11.     end
  12.     else if(IIC_S == W_WAIT)//当W_WAT状态,通过移位操作,把数据发送到数据总线
  13.         sda_r <= {sda_r[6:0],1'b1};//移位操作
  14.     else
  15.         sda_r <= sda_r;
复制代码
1.1.5接收移位模块
当总线进行读操作时,将IIC总线上的数据sda_i_r通过接收移位模块传送到O_rd_data上。
  1. localparam IDLE    = 4'd0;//I2C 总线空闲状态
  2. localparam R_WAIT  = 4'd4;//I2C 总线等待读完成
  3. localparam R_ACK   = 4'd5;//I2C 总线等待读RACK

  4. reg [7:0] sda_i_r = 8'd0;    //接收寄存器
  5. reg [7:0] rcnt = 8'd0;       //接收数据计数器,以byte为单位

  6. //I2C数据接收模块,I2C读期间,把数据通过移位操作,移入O_rd_data
  7. always @(negedge scl_clk)begin
  8.     if(IIC_S == R_WAIT ) //当IIC_S == R_WAIT ||IIC_S == W_ACK(如果读操作,第1个BIT是W_ACK这个状态读)启动移位操作
  9.         sda_i_r <= {sda_i_r[6:0],sda_i};
  10.     else if(IIC_S == R_ACK)//当IIC_S == R_ACK,完成一个BYTE读,把数据保存到O_rd_data
  11.         O_rd_data[((rcnt-1'b1)*8) +: 8] <= sda_i_r[7:0];
  12.     else if(IIC_S == IDLE)//空闲状态,重置sda_i_r
  13.         sda_i_r <= 8'd0;
  14. end
复制代码
1.1.6总线错误指示模块
进行写操作时,I2C总线无法读到正确ACK,拉高O_iic_bus_error
  1. //总线错误指示状态
  2. always @(negedge scl_clk or negedge I_rstn )begin
  3.     if(I_rstn == 1'b0)
  4.         O_iic_bus_error <= 1'b0;   
  5.     else begin
  6.         if(IIC_S  == W_ACK && sda_i == 1'b1)//I_iic_req == 1'b1 || rd_req == 1'b1总线进入错误状态
  7.             O_iic_bus_error <= 1'b1;
  8.         else if(I_iic_req == 0)
  9.             O_iic_bus_error <= 1'b0;
  10.     end         
  11. end
复制代码
2状态机设计
所有的SDA和SCL控制依据状态机设计。
029caea4353f48b69a5abc9fbd839b6b.jpg
IDLE:在IDLE状态,当iic_req请求有效代表一次全新的传输进入启动I2C Start启动传输阶段,如果rd_req有效代表目前要进行repeated start,也是进去START状态。
START:在START状态对bcnt进行初始化,设置需要发送的bit数量,因为不管是写操作,还是随机读操作,I2C总线协议要求,都要发送器件地址。因此在START后发送7Bit的器件地址和1bit的读/写位。
W_WAIT:在此阶段发送一个完整的8bit数据,发送移位模块也会在此阶段对数据移位。
W_ACK:对于写操作,SLAVE设备响应ACK,如果还有数据需要些,则回到W_WAIT;如果还要进行读操作,则回到IDLE产生一次Repeated Start;如果已经完成所有数据发送,也没有数据需要读,则进入STOP1
R_WAIT:在此阶段完成8bits数据接收,接收移位模块工作,之后进入R_ACK
R_ACK:响应NACK,如果还有数据需要接收,则再次进入R_WAIT,否则进入STOP1,完成本次传输。
STOP1:产生停止位,SDA=0 SDA=1,进入STOP1
SOTP2:产生停止位,SDA=1 SDA=1,回到IDLE
3程序源码

  1. `timescale 1ns / 1ns //仿真刻度/精度

  2. module uii2c#
  3. (
  4. parameter integer WMEN_LEN = 8'd0,//写长度,以字节为单位,包含器件地址
  5. parameter integer RMEN_LEN = 8'd0,//读长度,以字节为单位,不包含器件地址
  6. parameter integer CLK_DIV  = 16'd499// I2C时钟分频系数
  7. )
  8. (
  9. input  wire I_clk,//系统时钟输入
  10. input  wire I_rstn,//系统复位,低电平有效
  11. output reg  O_iic_scl = 1'b0,//I2C时钟SCL
  12. inout  wire IO_iic_sda,//I2C 数据总线
  13. input  wire [WMEN_LEN*8-1'b1:0]I_wr_data,//写数据寄存器,其中WMEN_LEN设置了最大支持的数据字节数,越大占用的FPGA资源越多
  14. input  wire [7:0]I_wr_cnt,//写数据计数器,代表写了多少个字节
  15. output reg  [RMEN_LEN*8-1'b1:0]O_rd_data = 0,//读数据寄存器,其中RMEN_LEN设置了最大支持的数据字节数,越大占用的FPGA资源越多
  16. input  wire [7:0]I_rd_cnt,//读数据计数器
  17. input  wire I_iic_req,//I_iic_req == 1 使能I2C传输
  18. input  wire I_iic_mode,//I_iic_mode = 1 随机读   I_iic_mode = 0 读当前寄存器或者页读
  19. output reg  O_iic_busy = 1'b0,//I2C控制器忙
  20. output reg  O_iic_bus_error, //I2C总线,无法读到正确ACK出错
  21. output reg  IO_iic_sda_dg
  22. );

  23. localparam IDLE    = 4'd0;//I2C 总线空闲状态
  24. localparam START   = 4'd1;//I2C 总线启动
  25. localparam W_WAIT  = 4'd2;//I2C 总线等待写完成
  26. localparam W_ACK   = 4'd3;//I2C 总线等待写WACK
  27. localparam R_WAIT  = 4'd4;//I2C 总线等待读完成
  28. localparam R_ACK   = 4'd5;//I2C 总线等待读RACK
  29. localparam STOP1   = 4'd6;//I2C 总线产生停止位
  30. localparam STOP2   = 4'd7;//I2C 总线产生停止位   

  31. localparam SCL_DIV = CLK_DIV/2;

  32. localparam OFFSET = SCL_DIV - SCL_DIV/4;//设置I2C总线的SCL时钟的偏移,以满足SCL和SDA的时序要求,外部的SCL延迟内部的半周期的四分之三

  33. reg [2:0] IIC_S = 4'd0; //I2C 状态机
  34. //generate  scl
  35. reg [15:0] clkdiv = 16'd0;   //I2C 时钟分频寄存器
  36. reg scl_r      = 1'b1;       //I2C控制器的SCL内部时钟
  37. reg sda_o      = 1'b0;       //I2C控制器的SDA
  38. reg scl_clk    = 1'b0;       //I2C控制器内部SCL时钟,与外部时钟存在OFFSET参数设置的相位偏移
  39. reg [7:0] sda_r = 8'd0;      //发送寄存器
  40. reg [7:0] sda_i_r = 8'd0;    //接收寄存器
  41. reg [7:0] wcnt = 8'd0;       //发送数据计数器,以byte为单位
  42. reg [7:0] rcnt = 8'd0;       //接收数据计数器,以byte为单位
  43. reg [2:0] bcnt = 3'd0;       //bit计数器
  44. reg  rd_req = 1'b0;          //读请求,当判断到需要读数据,内部状态机中设置1
  45. wire sda_i;                  //sda 输入
  46. wire scl_offset;             //scl 时钟偏移控制

  47. assign  sda_i   = (IO_iic_sda == 1'b0) ?  1'b0 : 1'b1;  //读总线
  48. assign  IO_iic_sda = (sda_o == 1'b0) ?  1'b0 : 1'bz;    //写总线,1'bz代表高阻,I2C外部通过上拉电阻,实现总线的高电平

  49. //scl 时钟分频器
  50. always@(posedge I_clk)
  51.     if(clkdiv < SCL_DIV)   
  52.         clkdiv <= clkdiv + 1'b1;
  53.     else begin
  54.         clkdiv <= 16'd0;
  55.         scl_clk <= !scl_clk;
  56.     end

  57. assign  scl_offset  = (clkdiv == OFFSET);//设置scl_offset的时间参数
  58. always @(posedge I_clk) O_iic_scl <=  scl_offset ?  scl_r : O_iic_scl; //O_iic_scl延迟scl_offset时间的scl_r

  59. //采集I2C 数据总线sda
  60. always @(posedge I_clk) IO_iic_sda_dg <= sda_i;  

  61. //当IIC_S状态机处于,同时空闲状态,设置SCL为高电平,同时也是空闲,停止状态,用于产生起始位和停止位时序,否则寄存scl_clk时钟
  62. always @(*)
  63.     if(IIC_S == IDLE || IIC_S == STOP1 || IIC_S == STOP2)
  64.         scl_r <= 1'b1;
  65.     else
  66.         scl_r <= scl_clk;
  67.   

  68. //当进入IIC_S状态为启动、停止设置sda=0,结合scl产生起始位,或者(IIC_S == R_ACK && (rcnt != I_rd_cnt) sda=0,用于产生读操作的ACK
  69. always @(*)
  70.     if(IIC_S == START || IIC_S == STOP1 || (IIC_S == R_ACK && (rcnt != I_rd_cnt)))
  71.         sda_o <= 1'b0;
  72.     else if(IIC_S == W_WAIT)
  73.         sda_o <= sda_r[7];
  74.     else  sda_o <= 1'b1; //否则其他状态都为1,当(IIC_S == R_ACK && (rcnt == I_rd_cnt) 产生一个NACK

  75. //I2C数据发送模块,所有的写数据都通过此模块发送
  76. always @(posedge scl_clk)
  77.     if(IIC_S == W_ACK || IIC_S == START)begin//IIC_S=START和W_ACK,把需要发送的数据,寄存到sda_r
  78.         sda_r <= I_wr_data[(wcnt*8) +: 8];//寄存需要发发送的数据到sda_r
  79.         if( rd_req ) sda_r <= {I_wr_data[7:1],1'b1};//对于读操作,rd_req由内部代码产生,当写完第一个数据(器件地址),后通过判断I_rd_cnt,确认是否数据需要读
  80.     end
  81.     else if(IIC_S == W_WAIT)//当W_WAT状态,通过移位操作,把数据发送到数据总线
  82.         sda_r <= {sda_r[6:0],1'b1};//移位操作
  83.     else
  84.         sda_r <= sda_r;

  85. //sda data bus read and hold data to O_rd_data register when IIC_S=R_ACK
  86. //I2C数据接收模块,I2C读期间,把数据通过移位操作,移入O_rd_data
  87. always @(negedge scl_clk)begin
  88.     if(IIC_S == R_WAIT ) //当IIC_S == R_WAIT ||IIC_S == W_ACK(如果读操作,第1个BIT是W_ACK这个状态读)启动移位操作
  89.         sda_i_r <= {sda_i_r[6:0],sda_i};
  90.     else if(IIC_S == R_ACK)//当IIC_S == R_ACK,完成一个BYTE读,把数据保存到O_rd_data
  91.         O_rd_data[((rcnt-1'b1)*8) +: 8] <= sda_i_r[7:0];
  92.     else if(IIC_S == IDLE)//空闲状态,重置sda_i_r
  93.         sda_i_r <= 8'd0;
  94. end

  95. //总线忙状态
  96. always @(posedge scl_clk or negedge I_rstn )begin
  97.     if(I_rstn == 1'b0)
  98.         O_iic_busy <= 1'b0;
  99.     else begin
  100.         if((I_iic_req == 1'b1 || rd_req == 1'b1 || O_iic_bus_error))//I_iic_req == 1'b1 || rd_req == 1'b1总线进入忙状态
  101.             O_iic_busy <= 1'b1;
  102.         else if(IIC_S == IDLE)
  103.             O_iic_busy <= 1'b0;
  104.     end         
  105. end

  106. //总线忙状态
  107. always @(negedge scl_clk or negedge I_rstn )begin
  108.     if(I_rstn == 1'b0)
  109.         O_iic_bus_error <= 1'b0;   
  110.     else begin
  111.         if(IIC_S  == W_ACK && sda_i == 1'b1)//I_iic_req == 1'b1 || rd_req == 1'b1总线进入忙状态
  112.             O_iic_bus_error <= 1'b1;
  113.         else if(I_iic_req == 0)
  114.             O_iic_bus_error <= 1'b0;
  115.     end         
  116. end

  117. //I2C Master控制器状态机
  118. always @(posedge scl_clk or negedge I_rstn )begin
  119.         if(I_rstn == 1'b0)begin //异步复位,复位相关寄存器
  120.            wcnt     <= 8'd0;
  121.            rcnt     <= 8'd0;
  122.            rd_req   <= 1'b0;   
  123.            IIC_S    <= IDLE;
  124.         end
  125.         else begin
  126.         case(IIC_S) //sda = 1 scl =1
  127.         IDLE:begin//在空闲状态,sda=1 scl=1
  128.            if(I_iic_req == 1'b1 || rd_req == 1'b1) //当I_iic_req == 1'b1代表启动传输 当 rd_req == 1'b1 代表读操作需要产生repeated start 重复启动  
  129.               IIC_S  <= START; //进入START状态
  130.            else begin
  131.               wcnt <= 8'd0; //复位计数器
  132.               rcnt <= 8'd0; //复位计数器
  133.            end
  134.         end
  135.         START:begin //这个状态,前面的代码,先设置sda = 0,scl_offset参数设置了scl_clk时钟的偏移,之后 scl_clk =0 即scl =0 产生起始位或者重复起始位
  136.            bcnt <= 3'd7; //设置bcnt的初值         
  137.            IIC_S  <= W_WAIT;//进入发送等待
  138.         end           
  139.         W_WAIT://等待发送完成,这里发送8bits 数据,写器件地址,写寄存器地址,写数据,都在这个状态完成
  140.         begin
  141.            if(bcnt > 3'd0)//如果8bits没发送完,直到发送完
  142.                bcnt  <= bcnt - 1'b1; //bcnt计数器,每发送1bit减1
  143.            else begin //8bits发送完毕
  144.                wcnt <= wcnt + 1'b1; //wcnt计数器,用于记录已经写了多少字节
  145.                IIC_S  <= W_ACK;//进入W_ACK状态
  146.            end
  147.         end
  148.         W_ACK://等待WACK,此阶段,也判断是否有读操作
  149.         begin
  150.            if(wcnt < I_wr_cnt)begin //判断是否所有数据发送(写)完成
  151.               bcnt <= 3'd7; //如果没有写完,重置bcnt
  152.               IIC_S <= W_WAIT;//继续回到W_WAIT等待数据发送(写)完成
  153.            end
  154.            else if(I_rd_cnt > 3'd0)begin//I_rd_cnt > 0代表有数据需要读,I_rd_cnt决定了有多少数据需要读
  155.               if(rd_req == 1'b0 && I_iic_mode == 1'b1)begin //对于第一次写完器件地址,如果I_iic_mode==1代表支持随机读
  156.                   rd_req <= 1'b1;//设置rd_req=1,请求读操作
  157.                   IIC_S <= IDLE; //设置状态进入IDLE,根据rd_req的值会重新产生一次为读操作进行的repeated重复start
  158.               end
  159.               else //如果之前已经完成了repeated重复start,那么读操作进入读数据阶段
  160.                   IIC_S <= R_WAIT;//进入读等待
  161.                   bcnt <= 3'd7;//设置bcnt的初值  
  162.            end
  163.            else //如果所有的发送完成,也没数据需要读,进入停止状态
  164.               IIC_S <= STOP1;
  165.         end  
  166.         R_WAIT://等待读操作完成
  167.         begin
  168.            rd_req <= 1'b0;//重置读请求rd_req=0
  169.            bcnt  <= bcnt - 1'b1; //bit 计数器
  170.            if(bcnt == 3'd0)begin //当8bits数据读完
  171.               rcnt <= (rcnt < I_rd_cnt) ? (rcnt + 1'b1) : rcnt;//判断是否还有数据需要读
  172.               IIC_S  <= R_ACK;//进入R_ACK
  173.            end
  174.         end
  175.         R_ACK://R_ACK状态产生NACK
  176.         begin
  177.            bcnt <= 3'd7;//重置读请求bcnt计数器
  178.            IIC_S <= (rcnt < I_rd_cnt) ? R_WAIT : STOP1; //如果所有数据读完,进入停止状态
  179.         end  
  180.         STOP1:begin//产生停止位 sda = 0 scl = 1
  181.             rd_req  <= 1'b0;              
  182.             IIC_S <= STOP2;
  183.         end
  184.         STOP2://产生停止位  sda = 1 scl = 1
  185.             IIC_S <= IDLE;         
  186.         default:
  187.             IIC_S <= IDLE;
  188.         endcase
  189.     end
  190. end

  191. endmodule
复制代码


您需要登录后才可以回帖 登录 | 立即注册

本版积分规则