[X]关闭

[米联客-XILINX-H3_CZ08_7100] FPGA基础篇连载-17 SPI驱动HC595点亮数码管

文档创建者:FPGA课程
浏览次数:247
最后更新:2024-08-28
文档课程分类-AMD-ZYNQ
AMD-ZYNQ: ZYNQ-FPGA部分 » 2_FPGA实验篇(仅旗舰) » 1-FPGA基础入门实验
本帖最后由 FPGA课程 于 2024-8-28 16:20 编辑

​ 软件版本:VIVADO2021.1
操作系统:WIN10 64bit
硬件平台:适用 XILINX A7/K7/Z7/ZU/KU 系列 FPGA
实验平台:米联客-MLK-H3-CZ08-7100开发板
板卡获取平台:https://milianke.tmall.com/
登录“米联客”FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!



1概述
前面课程我们编写SPI通信FPGA的收发程序,那么这一节课,我们将展示下SPI接口的应用,使用SPI接口的74HC595实现数据的串并转换,并且动态点亮数码管。
本节课的目标,不仅仅是点亮数码管,笔者希望大家掌握笔者的学习方法,通过对74HC595芯片的内部结构,接口信号,以及驱动时序的分析,掌握如何通过SPI通信接口去控制一些SPI接口的芯片。对于搞FPGA的人来说,经常会使用SPI接口去读取ADC或者控制DAC。所以读者非常有必要掌握好SPI接口FPGA程序的设计,笔者这里给出的每个例子都是具备学习的价值意义的。


2硬件设计分析


2.1数码管动态显示原理
b9056efe99a0430fb32c42ebdf49fb29.jpg
如上图所示,为我们4位共阳极7位数码管的电路原理图,这里的数码管是共阳极驱动,所以当74HC595 U2的QA、QB、QC、QD控制数码管的阳极,也就是负责选通哪一个数码管点亮;而74HC595 U1控制显示具体的数值内容。动态显示的原理就是某一个时刻只显示1个数码管,对于我们人眼来说刷新率在25hz以上就会感觉所有数码管都是同时点亮的效果。
我们看到数码管的字母abcdefgdp代表的是什么意思呢?如下图所示,就是代表了每个LED,当设置abcdefgh中任意位为0就是点亮数码管。所以我们可以得出一个表,用于显示相应的数值。
39eb73f8a0694742b65c656c1319dc6f.jpg
                        
Value
                        
                        
Code
                        
                        
Value
                        
                        
Code
                        
                        
0
                        
                        
8’hc0
                        
                        
8
                        
                        
8’h80
                        
                        
1
                        
                        
8’hf9
                        
                        
9
                        
                        
8’h90
                        
                        
2
                        
                        
8’ha4
                        
                        
A
                        
                        
8’h88
                        
                        
3
                        
                        
8’hb0
                        
                        
B
                        
                        
8’h83
                        
                        
4
                        
                        
8’h99
                        
                        
C
                        
                        
8’hc6
                        
                        
5
                        
                        
8’h92
                        
                        
D
                        
                        
8’ha1
                        
                        
6
                        
                        
8’h82
                        
                        
E
                        
                        
8’h96
                        
                        
7
                        
                        
8’hf8
                        
                        
F
                        
                        
8’h8e
                        
3认识74HC595使用
对于FPGA基础的课程内容,笔者认为多学点知识的过程比做出结果更有意义,所以我们这里把74HC595芯片的使用也分析下。
3.174HC595的内部功能单元
f4d7595f443d4900946e52e40d344c7b.jpg
我们先从74HC595内部的原理图看下芯片的功能。可以看到里面最关键功能单元是8个D触发器用于移位和另外8个D触发器用于数据的输出到功能PIN脚上。
我们SPI接口的数据从Serial input A输入,并且通过SHIFT CLOCK每个时钟移位进入74HC595的触发器中,一个74HC595支持8bit IO扩展,如果需要更多IO,则可以通过74HC595的级联输出到下一个74HC595芯片的输入。
如上面图片所示,我们为了动态驱动数码管,扩展了16个IO(其中有4个本例中没有用到)。比如本文中的例子,我们完成了16bit数据的移位,那么需要通过LATCH CLK 把数据从移位寄存器打入输出寄存器。
3.274HC595驱动真值表
e0be06403f1c4c87b30dc3dcced2d03f.jpg
以上这张表是来源于74HC595的计数手册,笔者红线框出的部分就是我们需要设计的驱动时序要求。从中可以看到,74HC595工作需要设置复位管脚为高电平,并且设置Output Enable为低电平。第一个红框中数据在每个shift Clock的上升沿打入移位寄存器。在第二个红框中Latch Clock为上升沿的时候,数据从移位寄存器打入输出寄存器。
3.374HC595关键的时序要求
对于FPGA的外设接口,我们一定要掌握驱动时序的设计。这里笔者给出2张表和1张图。
2张表如下:
ecb4ab2c7dc74af0ab136693aef7ea26.jpg
1d06878cfc984fc396c2017b9186f03f.jpg


一张图如下:
e2ba8915988d40069d94ecd1164a0495.jpg
作为一个FPGA初学者应该如何设计理解上面的时序呢?
1)、对于一个SPI的接口器件,首选我们应该看最高的接口速度。从上面表种参数fmax在3.0V工作情况下,85°的工作温度,可以达到10MHZ,有了这个参数我们就可以设计我们的SPI 接口的时钟了,比如我们这里设计的是100KHZ的频率,肯定满足要求的。
2)、建立时间和保存时间,数据为了可以正确打入D触发器需要有足够的建立时间有保存实际。74HC595的数据建立和保持时要求如figure5所示,tsu=50ns th=5ns,我们设计的SPI发送程序,是在SPI时钟的下降沿发送数据。假设以25M系统时钟,以及100倍分频,SPI时钟来说,SPI时钟为250khz,SPI时钟周期为4us,具有2us的建立时间和保持时间,绰绰有余了,这样我们的数据肯定可以满足建立时间和保持时间要求。
3)、LATCH CLOCK和SHIFT CLOCK也是需要满足建立时间和保持时间要求,如Figure6所示。Tsu=70ns 并且tw为50ns。
我们的SPI用户代码部分是在所有数据位发送完成后设置LATCH CLOCK位1, LATCH CLOCK的建立时间有10个系统时钟周期,对于25M的时钟具有4us的时间,我们SPI用户代码部分控制了LATCH CLOCK的tw大于50ns这样设计就满足时序要求了。
3096a060aa924b0f9cde7753479bece6.jpg
本例中,复位RESET和OE都是设置了电平常量,所以只要设计好了以上三个关键时序就可以了。掌握74HC595SPI时序分析比我们点亮数码管更具有意义和价值。
4用户控制代码
硬件电路中,通过3根数据线,2片74HC595实现16个GPIO的扩展。使用FEP-BASE卡具有4个数码管,以动态扫描的方式点亮。动态扫描代表每一时刻只有一个数码管被点亮,只要扫描的速度足够快,看起来就是同时点亮的。
笔者依然先给出程序,到这个阶段有些读者应该可以自己完成代码的阅读了,对于基础不好的读者继续看下面笔者对代码的解析。
4.1ui_displed.v
  1. `timescale 1ns / 1ns
  2. //***********spi数码管显示控制器 ***********//
  3. module ui_displed#
  4. (
  5. parameter CLK_DIV = 100
  6. )
  7. (
  8. input  I_clk,          //系统时钟
  9. input  I_rstn,         //复位
  10. output O_spi_sclk,     //hc595移位时钟
  11. output O_spi_mosi,     //hc595串行数据
  12. output O_hc595_lach,   //hc595数据加载到输出寄存器
  13. input  [3:0]O_disp_led0, //Display LED0
  14. input  [3:0]O_disp_led1, //Display LED1
  15. input  [3:0]O_disp_led2, //Display LED2
  16. input  [3:0]O_disp_led3  //Display LED3
  17. );
  18. //数码管真值表
  19. localparam
  20.             DS_0        = 8'hC0,//数码管显示0
  21.             DS_1        = 8'hF9,//数码管显示1
  22.             DS_2        = 8'hA4,//数码管显示2
  23.             DS_3        = 8'hB0,//数码管显示3
  24.             DS_4        = 8'h99,//数码管显示4
  25.             DS_5        = 8'h92,//数码管显示5
  26.             DS_6        = 8'h82,//数码管显示6
  27.             DS_7        = 8'hf8,//数码管显示7
  28.             DS_8        = 8'h80,//数码管显示8
  29.             DS_9        = 8'h90,//数码管显示9
  30.             DS_A        = 8'h88,//数码管显示A
  31.             DS_B        = 8'h83,//数码管显示B
  32.             DS_C        = 8'hc6,//数码管显示C
  33.             DS_D        = 8'ha1,//数码管显示D
  34.             DS_E        = 8'h86,//数码管显示E
  35.             DS_F        = 8'h8e,//数码管显示F
  36.             DS_BC_ON    = 8'hbf,//b c点亮
  37.             DS_BC_OFF   = 8'hff;//b c点灭

  38. reg [7:0]   spi_tx_data = 8'd0;
  39. reg         spi_tx_req  = 1'b0;
  40. wire        spi_busy;
  41. reg         lach595     = 1'b0;
  42. reg [3:0]   M_S         = 4'd0;
  43. reg [1:0]   disp_num    = 2'd0;
  44. reg [3:0]   disp_led_n  = 4'd0;
  45. reg [3:0]   disp_led    = 4'd0;
  46. reg [7:0]   disp_truth_value = 8'd0;
  47. wire        disp_en ;

  48. assign O_hc595_lach = lach595;               //hc595数据加载到输出寄存器的控制信号
  49. assign disp_en      = (M_S == 4'd15);       //到达状态15完成一次传输

  50. //动态门控数字管
  51. always @(posedge I_clk or negedge I_rstn)begin
  52.     if(!I_rstn)
  53.          disp_num <= 2'd0;                           
  54.      else if( disp_en )
  55.          disp_num <= disp_num + 1'b1;   
  56. end

  57. always @(posedge I_clk or negedge I_rstn)begin
  58.     if(!I_rstn)begin                               //异步复位,低电平有效
  59.         spi_tx_req  <= 1'b0;                       //req 信号归零,回归初始状态
  60.         spi_tx_data <= 8'd0;                       //待发送数据的data信号清零
  61.         lach595     <= 1'b0;
  62.         M_S         <= 4'd0;
  63.     end
  64.     else begin
  65.         case(M_S)
  66.             0:if(!spi_busy)begin                       //总线不忙启动传输
  67.                spi_tx_req       <= 1'b1;               //req信号拉高,开始第一次传输
  68.                spi_tx_data      <= disp_led_n;        //哪一个数码管显示数字信号传输
  69.                M_S              <= 4'd1;   
  70.             end
  71.             1:if(spi_busy)begin
  72.                 spi_tx_req      <= 1'b0;               //req信号拉低,等待传输完成,也就是busy信号拉低
  73.                 M_S             <= 4'd2;
  74.             end
  75.             2:if(!spi_busy)begin                       //总线不忙启动传输
  76.                 spi_tx_req      <= 1'b1;               //req信号拉高,开始第二次传输
  77.                 spi_tx_data     <= disp_truth_value;   //数码管显示数字数值传输
  78.                 M_S             <= 4'd3;   
  79.             end
  80.             3:if(spi_busy)begin
  81.                 spi_tx_req      <= 1'b0;                 //req信号拉低,等待传输完成,也就是busy信号拉低
  82.                 M_S             <= 4'd4;
  83.             end
  84.             4:if(!spi_busy)begin                          //向hc595输出寄存器发送数据
  85.                 lach595         <= 1'b1;                  //控制信号拉高
  86.                 M_S             <= 4'd5;
  87.             end
  88.             5,6,7,8,9,10,11,12,13,14:begin              //延迟一些时钟以满足发射定时要求
  89.                 M_S <= M_S + 1'b1;
  90.             end
  91.             15:begin
  92.                 lach595 <= 1'b0;
  93.                 M_S <= 4'd0;
  94.             end
  95.             default:M_S <= 4'd0;   
  96.         endcase
  97.      end
  98. end   
  99. //动态门控数字管,hc595的第1组数据
  100. always @(*)begin
  101.             case ( disp_num )
  102.             0:
  103.             begin disp_led <= O_disp_led0;disp_led_n <= 4'b1000;end//数码管 0 选中
  104.             1:
  105.             begin disp_led <= O_disp_led1;disp_led_n <= 4'b0100;end//数码管 1 选中
  106.             2:
  107.             begin disp_led <= O_disp_led2;disp_led_n <= 4'b0010;end//数码管 2 选中
  108.             3:
  109.             begin disp_led <= O_disp_led3;disp_led_n <= 4'b0001;end//数码管 3 选中
  110.             endcase
  111. end
  112. //动态门控数字管,hc595第2组数据  
  113. always @(*)begin
  114.             case( disp_led )
  115.                 4'h0: disp_truth_value <= DS_0; //disp_led数字选择几,就disp_truth_value值就传输对应的数码管真值表
  116.                 4'h1: disp_truth_value <= DS_1;
  117.                 4'h2: disp_truth_value <= DS_2;
  118.                 4'h3: disp_truth_value <= DS_3;
  119.                 4'h4: disp_truth_value <= DS_4;
  120.                 4'h5: disp_truth_value <= DS_5;
  121.                 4'h6: disp_truth_value <= DS_6;
  122.                 4'h7: disp_truth_value <= DS_7;
  123.                 4'h8: disp_truth_value <= DS_8;
  124.                 4'h9: disp_truth_value <= DS_9;
  125.                 4'ha: disp_truth_value <= DS_BC_ON;
  126.                 4'hb: disp_truth_value <= DS_BC_OFF;                        
  127.                 default : disp_truth_value <= 8'd0; // disp_truth_value的值复位清零
  128.             endcase
  129. end
  130. //spi主控制器
  131. uimspi_tx#
  132. (
  133. .CLK_DIV(CLK_DIV),
  134. .CPOL(1'b0),
  135. .CPHA(1'b0)
  136. )
  137. uimspi_tx_inst(
  138. .I_clk(I_clk),//全局时钟信号
  139. .I_rstn(I_rstn),//全局复位
  140. .O_spi_mosi(O_spi_mosi),//spi 数据传输信号
  141. .O_spi_sclk(O_spi_sclk),//spi 时钟信号
  142. .I_spi_tx_req(spi_tx_req),//spi_tx_req信号为高时,表示传输开始
  143. .I_spi_tx_data(spi_tx_data),//spi tx传输驱动需要传输的数据
  144. .O_spi_busy(spi_busy)//spi 忙信号,拉高表示正在传输,新的数据暂停刷入传输寄存器
  145. );
  146. endmodule
复制代码

4.2ui_displed程序的分析
第一步:正确认识硬件原理图和驱动时序
我们在前面的内容里面介绍了一些硬件知识,包括数码管动态显示的原理,以及74HC595芯片的内部结构和驱动时序。对于我们一个FPGA程序员来说,掌握好硬件的知识,以及时序相关的内容,就可以设计程序了。
第二步:构建主要功能单元
1、构建用户代码的状态机单元
根据前面的74HC595和数码管的硬件设计图纸,通过分析我们需要发送2个8bit的数据共计16bit。因为我们需要修改前面章节中关于SPI测试程序的用户发送状态机,另外为了满足74HC595 LACH CLK的tsu(肯定满足)和tw周期时间要求,我们还需要设置lach clk的延迟。
  1. always @(posedge I_clk or negedge I_rstn)begin
  2.     if(!I_rstn)begin                               //异步复位,低电平有效
  3.         spi_tx_req  <= 1'b0;                       //req 信号归零,回归初始状态
  4.         spi_tx_data <= 8'd0;                       //待发送数据的data信号清零
  5.         lach595     <= 1'b0;
  6.         M_S         <= 4'd0;
  7.     end
  8.     else begin
  9.         case(M_S)
  10.             0:if(!spi_busy)begin                       //总线不忙启动传输
  11.                spi_tx_req       <= 1'b1;               //req信号拉高,开始第一次传输
  12.                spi_tx_data      <= disp_led_n;        //哪一个数码管显示数字信号传输
  13.                M_S              <= 4'd1;   
  14.             end
  15.             1:if(spi_busy)begin
  16.                 spi_tx_req      <= 1'b0;               //req信号拉低,等待传输完成,也就是busy信号拉低
  17.                 M_S             <= 4'd2;
  18.             end
  19.             2:if(!spi_busy)begin                       //总线不忙启动传输
  20.                 spi_tx_req      <= 1'b1;               //req信号拉高,开始第二次传输
  21.                 spi_tx_data     <= disp_truth_value;   //数码管显示数字数值传输
  22.                 M_S             <= 4'd3;   
  23.             end
  24.             3:if(spi_busy)begin
  25.                 spi_tx_req      <= 1'b0;                 //req信号拉低,等待传输完成,也就是busy信号拉低
  26.                 M_S             <= 4'd4;
  27.             end
  28.             4:if(!spi_busy)begin                          //向hc595输出寄存器发送数据
  29.                 lach595         <= 1'b1;                  //控制信号拉高
  30.                 M_S             <= 4'd5;
  31.             end
  32.             5,6,7,8,9,10,11,12,13,14:begin              //延迟一些时钟以满足发射定时要求
  33.                 M_S <= M_S + 1'b1;
  34.             end
  35.             15:begin
  36.                 lach595 <= 1'b0;
  37.                 M_S <= 4'd0;
  38.             end
  39.             default:M_S <= 4'd0;   
  40.         endcase
  41.      end
  42. end
复制代码

2、构建数值显示查表单元
把需要显示的数值,通过查表,找到数码管对应数值的二进制码
  1. //数码管真值表
  2. localparam
  3.             DS_0        = 8'hC0,//数码管显示0
  4.             DS_1        = 8'hF9,//数码管显示1
  5.             DS_2        = 8'hA4,//数码管显示2
  6.             DS_3        = 8'hB0,//数码管显示3
  7.             DS_4        = 8'h99,//数码管显示4
  8.             DS_5        = 8'h92,//数码管显示5
  9.             DS_6        = 8'h82,//数码管显示6
  10.             DS_7        = 8'hf8,//数码管显示7
  11.             DS_8        = 8'h80,//数码管显示8
  12.             DS_9        = 8'h90,//数码管显示9
  13.             DS_A        = 8'h88,//数码管显示A
  14.             DS_B        = 8'h83,//数码管显示B
  15.             DS_C        = 8'hc6,//数码管显示C
  16.             DS_D        = 8'ha1,//数码管显示D
  17.             DS_E        = 8'h86,//数码管显示E
  18.             DS_F        = 8'h8e,//数码管显示F
  19.             DS_BC_ON    = 8'hbf,//b c点亮
  20.             DS_BC_OFF   = 8'hff;//b c点灭
  21.    
  22. //动态门控数字管,hc595第2组数据  
  23. always @(*)begin
  24.             case( disp_led )
  25.                 4'h0: disp_truth_value <= DS_0; //disp_led数字选择几,就disp_truth_value值就传输对应的数码管真值表
  26.                 4'h1: disp_truth_value <= DS_1;
  27.                 4'h2: disp_truth_value <= DS_2;
  28.                 4'h3: disp_truth_value <= DS_3;
  29.                 4'h4: disp_truth_value <= DS_4;
  30.                 4'h5: disp_truth_value <= DS_5;
  31.                 4'h6: disp_truth_value <= DS_6;
  32.                 4'h7: disp_truth_value <= DS_7;
  33.                 4'h8: disp_truth_value <= DS_8;
  34.                 4'h9: disp_truth_value <= DS_9;
  35.                 4'ha: disp_truth_value <= DS_BC_ON;
  36.                 4'hb: disp_truth_value <= DS_BC_OFF;                        
  37.                 default : disp_truth_value <= 8'd0; // disp_truth_value的值复位清零
  38.             endcase
  39. end
复制代码

3、构建动态扫描单元
我们可以设置固定的刷新频率,这样需要设置一个时间计数器,每过一定的时间刷新数码管,我们这里以全速刷新,只要状态机完成一次发送数据,就开始下次的刷新。由于数码管是共阳极的,所以当需要显示的数码管的共阳极设置位1这个数码管就可以正确显示。
  1. //动态门控数字管,hc595的第1组数据
  2. always @(*)begin
  3.             case ( disp_num )
  4.             0:
  5.             begin disp_led <= disp_led0;disp_led_n <= 4'b1000;end//数码管 0 选中
  6.             1:
  7.             begin disp_led <= disp_led1;disp_led_n <= 4'b0100;end//数码管 1 选中
  8.             2:
  9.             begin disp_led <= disp_led2;disp_led_n <= 4'b0010;end//数码管 2 选中
  10.             3:
  11.             begin disp_led <= disp_led3;disp_led_n <= 4'b0001;end//数码管 3 选中
  12.             endcase
  13. end
复制代码

5 RTL仿真
先对上面的代码进行RTL功能仿真。
5.1仿真代码
仿真测试文件源码如下:
  1. `timescale 1ns / 1ns //定义仿真时间刻度/精度

  2. module master_spi_tb;
  3. reg I_sysclk_p;
  4. reg I_sysclk_n;
  5. reg I_rstn;  
  6. wire O_spi_sclk;
  7. wire O_spi_mosi;

  8. spi_hc595_displed#
  9. (
  10. .CLK_DIV(100)   
  11. )
  12. spi_hc595_displed_inst(
  13. .I_sysclk_p(I_sysclk_p),
  14. .I_sysclk_n(I_sysclk_n),
  15. .I_rstn(I_rstn),
  16. .O_spi_sclk(O_spi_sclk),
  17. .O_spi_mosi(O_spi_mosi)
  18. );

  19. initial begin
  20.     I_sysclk_p= 1'b0;
  21.     I_sysclk_n= 1'b1;               //I_sysclk_p赋初值
  22.     I_rstn = 1'b0;                //低电平复位模拟产生
  23.     #100;
  24.     I_rstn = 1'b1;                //复位结束
  25. end

  26. always
  27.     begin
  28.         #5 I_sysclk_p= ~I_sysclk_p;
  29.         #5 I_sysclk_n= ~I_sysclk_n;//系统时钟翻转
  30.     end


  31. endmodule
复制代码

5.2仿真结果
注意看下图红色的标记,红色标记中lach就是LACH CLK,每次16bit数据发送,LACH CLK有效把数据输出到74HC595的芯片管脚。
另外一个红色框内是数码管实现动态显示的切换计数器,每次发送完数据后,完成一次切换。
c348533fd77b435f9ecfc4df39564020.jpg


6硬件连接
(该教程为通用型教程,教程中仅展示一款示例开发板的连接方式,具体连接方式以所购买的开发板型号以及结合配套代码管脚约束为准。)
由于我们的开发板没有数码管资源,所以我们此处使用了1.8V的FEP-BASE-CARD。请确保下载器和开发板已经正确连接,另外需要把核心板上的2P模式开关设置到JTAG模式,即ON ON,并且开发板已经上电。(注意JTAG端子不支持热插拔,而USB接口支持,所以在不通电的情况下接通好JTAG后,再插入USB到电脑,之后再上电,以免造成JTAG IO损坏)
27203994d8be4a69b68742cb4c295876.jpg
7测试结果
61ce7ff6364d40549221d69ab066d4d3.jpg


您需要登录后才可以回帖 登录 | 立即注册

本版积分规则