賴鴻龍 发表于 2020-6-4 12:27:15

在MIZ7035 使用HDMI7611 视频输入输出卡 红色跟蓝色相反

本帖最后由 賴鴻龍 于 2020-6-4 12:35 编辑


输入源
输出


fpga_pin.xdc
set_property IOSTANDARD LVDS
set_property IOSTANDARD LVDS

set_property PACKAGE_PIN C8

set_property IOSTANDARD LVCMOS33
set_property PACKAGE_PIN AB12
#############################################################################
#HDMI FEP tx
set_property PACKAGE_PIN AB17 }]
set_property PACKAGE_PIN AC17 }]
set_property PACKAGE_PIN AD16 }]
set_property PACKAGE_PIN AC13

set_property IOSTANDARD TMDS_33
set_property IOSTANDARD TMDS_33 }]
###################################################################################################
#set_property PACKAGE_PIN AK12
set_property PACKAGE_PIN AE10
set_property PACKAGE_PIN AF10
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property PULLUP true
set_property PULLUP true
###################################################################################################
create_clock -period 6.734 -name pclk_i

set_property PACKAGE_PIN AF13
set_property PACKAGE_PIN AD11
set_property PACKAGE_PIN Y10
set_property PACKAGE_PIN AC14
set_property PACKAGE_PIN AD10

set_property PACKAGE_PIN W16 }]
set_property PACKAGE_PIN W15 }]
set_property PACKAGE_PIN Y17 }]
set_property PACKAGE_PIN AA17 }]
set_property PACKAGE_PIN Y16 }]
set_property PACKAGE_PIN Y15 }]
set_property PACKAGE_PIN AA15 }]
set_property PACKAGE_PIN AA14 }]
set_property PACKAGE_PIN AB15 }]
set_property PACKAGE_PIN AB14 }]
set_property PACKAGE_PIN AC11 }]
set_property PACKAGE_PIN AE16 }]
set_property PACKAGE_PIN AE15 }]
set_property PACKAGE_PIN AD14 }]
set_property PACKAGE_PIN Y12 }]
set_property PACKAGE_PIN AE17 }]
set_property PACKAGE_PIN AF17 }]
set_property PACKAGE_PIN AA12 }]
set_property PACKAGE_PIN AE13 }]
set_property PACKAGE_PIN AF15 }]
set_property PACKAGE_PIN AF14 }]
set_property PACKAGE_PIN AB11 }]
set_property PACKAGE_PIN AB10 }]
set_property PACKAGE_PIN AC12 }]

set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33
set_property IOSTANDARD LVCMOS33 }]
#########################################################################

要如何解决这个问题?

uisrc 发表于 2020-6-8 10:19:50

FPGA代码里面把 R G B的 R 和G 调换下位置

苏9935 发表于 2020-6-18 16:23:40

页: [1]
查看完整版本: 在MIZ7035 使用HDMI7611 视频输入输出卡 红色跟蓝色相反